Publications
Puclications of the Research Unit Systems on Chip
-
| Forecasting Critical Overloads based on Heterogeneous Smart Grid Simulation at reposiTUm , opens an external URL in a new windowBittner, M., Hauer, D., Stippel, C., Scheucher, K., Sudhoff, R., & Jantsch, A. (2023). Forecasting Critical Overloads based on Heterogeneous Smart Grid Simulation. In 2023 International Conference on Machine Learning and Applications (ICMLA) (pp. 339–346).
-
| An LSTM-based Downscaling Framework for Australian Precipitation Projections at reposiTUm , opens an external URL in a new windowBittner, M., Hobeichi, S., Zawish, M., Diatta, S., Ozioko, R., Xu, S., & Jantsch, A. (2023, December). An LSTM-based Downscaling Framework for Australian Precipitation Projections. NeurIPS 2023 Workshop: Tackling Climate Change with Machine Learning, New Orleans, United States of America (the).
-
| Reflections on Trusting TrustHUB at reposiTUm , opens an external URL in a new windowKrieg, C. (2023). Reflections on Trusting TrustHUB. In 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD) Proceedings (pp. 1–9). Institute of Electrical and Electronics Engineers (IEEE). https://doi.org/10.34726/5335, opens an external URL in a new window
-
| Proactive Scheduling of Mixed Energy Resources at Different Grid Levels at reposiTUm , opens an external URL in a new windowEfkarpidis, N., Imoscopi, S., Bratukhin, A., Brannvall, R., Franzl, G., Leopold, T., Bauer, V., Goranovic, A., Wilker, S., Yang, C. W., Gustafsson, J., Geidl, M., & Sauter, T. (2023). Proactive Scheduling of Mixed Energy Resources at Different Grid Levels. IEEE Transactions on Sustainable Energy. https://doi.org/10.1109/TSTE.2023.3320055, opens an external URL in a new window
-
| Towards Power Characterization of FPGA Architectures To Enable Open-Source Power Estimation Using Micro-Benchmarks at reposiTUm , opens an external URL in a new windowRiesenberger, S., & Krieg, C. (2023). Towards Power Characterization of FPGA Architectures To Enable Open-Source Power Estimation Using Micro-Benchmarks. In Proceedings of the 3rd Workshop on Open-Source Design Automation (OSDA) 2023. 3rd Workshop on Open-Source Design Automation (OSDA), 2023, Antwerpen, Belgium. arXiv. https://doi.org/10.48550/arXiv.2304.05326, opens an external URL in a new window
-
| Proceedings of the 3rd Workshop on Open-Source Design Automation (OSDA), 2023 at reposiTUm , opens an external URL in a new windowKrieg, C. (Ed.). (2023). Proceedings of the 3rd Workshop on Open-Source Design Automation (OSDA), 2023. arXiv. https://doi.org/10.48550/arXiv.2303.18024, opens an external URL in a new window
-
| Challenges in OT Security and Their Impacts on Safety-Related Cyber-Physical Production Systems at reposiTUm , opens an external URL in a new windowHollerer, S., Brenner, B., Bhosale, P. R., Fischer, C., Hosseini, A. M., Maragkou, S., Papa, M., Schlund, S., Sauter, T., & Kastner, W. (2023). Challenges in OT Security and Their Impacts on Safety-Related Cyber-Physical Production Systems. In B. Vogel-Heuser & M. Wimmer (Eds.), Digital Transformation (Vol. 1, pp. 171–202). Springer Vieweg. https://doi.org/10.1007/978-3-662-65004-2_7, opens an external URL in a new window
-
| Markov Model of PLC Availability Considering Cyber-Attacks in Industrial IoT at reposiTUm , opens an external URL in a new windowKolisnyk, M., Jantsch, A., Zseby, T., & Kharchenko, V. (2023). Markov Model of PLC Availability Considering Cyber-Attacks in Industrial IoT. In C. van Gulijk, E. Zaitseva, & M. Kvassay (Eds.), Reliability Engineering and Computational Intelligence for Complex Systems : Design, Analysis and Evaluation (Vol. 496, pp. 61–78). Springer. https://doi.org/10.1007/978-3-031-40997-4_5, opens an external URL in a new window
-
| Skill Acquisition for Resource-Constrained Mobile Robots through Continuous Exploration at reposiTUm , opens an external URL in a new windowKobelrausch, M. D., & Jantsch, A. (2022). Skill Acquisition for Resource-Constrained Mobile Robots through Continuous Exploration. In M. Habib (Ed.), Cognitive Robotics and Adaptive Behaviors (pp. 41–62). IntechOpen. https://doi.org/10.5772/intechopen.104996, opens an external URL in a new window
-
| Holo-Block Chain: A Hybrid Approach for Secured IoT Healthcare Ecosystem at reposiTUm , opens an external URL in a new windowAftab, A., Chrysostomou, C., Qureshi, H. K., & Rehman, S. (2022). Holo-Block Chain: A Hybrid Approach for Secured IoT Healthcare Ecosystem. In 2022 18th International Conference on Wireless and Mobile Computing, Networking and Communications (WiMob) (pp. 243–250). https://doi.org/10.1109/WiMob55322.2022.9941553, opens an external URL in a new window
-
| Reliable Power Efficient Systems through Run-time Reconfiguration at reposiTUm , opens an external URL in a new windowEl-Araby, N., & Jantsch, A. (2022). Reliable Power Efficient Systems through Run-time Reconfiguration. In 2022 20th IEEE Interregional NEWCAS Conference (NEWCAS) (pp. 347–351). https://doi.org/10.1109/NEWCAS52662.2022.9841986, opens an external URL in a new window
-
| Towards Optimized Security Attributes for IoT Devices in Smart Agriculture Based on the IEC 62443 Security Standard at reposiTUm , opens an external URL in a new windowShaaban, A. M., Chlup, S., El-Araby, N., & Schmittner, C. (2022). Towards Optimized Security Attributes for IoT Devices in Smart Agriculture Based on the IEC 62443 Security Standard. Applied Sciences, 12(11), Article 5653. https://doi.org/10.3390/app12115653, opens an external URL in a new window
-
| A Study on Confidence: An Unsupervised Multiagent Machine Learning Experiment at reposiTUm , opens an external URL in a new windowMozelli, A., Taherinejad, N., & Jantsch, A. (2022). A Study on Confidence: An Unsupervised Multiagent Machine Learning Experiment. IEEE Design and Test, 39(3), 54–62. https://doi.org/10.1109/MDAT.2021.3078341, opens an external URL in a new window
-
| ForASec: Formal Analysis of Hardware Trojan-based Security Vulnerabilities in Sequential Circuits at reposiTUm , opens an external URL in a new windowKhalid, F., Abbassi, I. H., Rehman, S., Kamboh, A. M., Hasan, O., & Shafique, M. (2022). ForASec: Formal Analysis of Hardware Trojan-based Security Vulnerabilities in Sequential Circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 41(4), 1167–1180. https://doi.org/10.1109/tcad.2021.3061524, opens an external URL in a new window
-
| High-Performance Accurate and Approximate Multipliers for FPGA-based Hardware Accelerators at reposiTUm , opens an external URL in a new windowUllah, S., Rehman, S., Shafique, M., & Kumar, A. (2022). High-Performance Accurate and Approximate Multipliers for FPGA-based Hardware Accelerators. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 41(2), 211–224. https://doi.org/10.1109/tcad.2021.3056337, opens an external URL in a new window
-
| Run Time Power and Accuracy Management with Approximate Circuits at reposiTUm , opens an external URL in a new windowEl-Araby, N., Freismuth, D., Filho, N. N., & Jantsch, A. (2022). Run Time Power and Accuracy Management with Approximate Circuits. In 2022 IFIP/IEEE 30th International Conference on Very Large Scale Integration (VLSI-SoC) (pp. 1–6). https://doi.org/10.1109/VLSI-SoC54400.2022.9939639, opens an external URL in a new window
-
| Improving deep learning based anomaly detection onmultivariate time series through separated anomalyscoring at reposiTUm , opens an external URL in a new windowLundström, A., O’Nils, M., Qureshi, F., & Jantsch, A. (2022). Improving deep learning based anomaly detection onmultivariate time series through separated anomalyscoring. IEEE Access, 10, 108194–108204. https://doi.org/10.1109/ACCESS.2022.3213038, opens an external URL in a new window
-
| Hierarchical multipliers: A framework for high-speed multiple error detecting architectures at reposiTUm , opens an external URL in a new windowValinataj, M., & Jantsch, A. (2022). Hierarchical multipliers: A framework for high-speed multiple error detecting architectures. Microelectronics Journal, 125, Article 105459. https://doi.org/10.1016/j.mejo.2022.105459, opens an external URL in a new window
-
| UBAR: User- and Battery-aware Resource Management for Smartphones at reposiTUm , opens an external URL in a new windowShamsa, E., Pröbstl, A., Taherinejad, N., Kanduri, A., Chakraborty, S., Rahmani, A. M., & Liljeberg, P. (2021). UBAR: User- and Battery-aware Resource Management for Smartphones. ACM Transactions on Embedded Computing Systems, 20(3), 1–25. https://doi.org/10.1145/3441644, opens an external URL in a new window
-
| Exact Stochastic Computing Multiplication in Memristive Memory at reposiTUm , opens an external URL in a new windowAlam, M. R., Najafi, M. H., & TaheriNejad, N. (2021). Exact Stochastic Computing Multiplication in Memristive Memory. IEEE Design and Test, 38(6), 36–43. https://doi.org/10.1109/mdat.2021.3051296, opens an external URL in a new window
-
| MELODI: An Online Platform for Mass Education of Digital Design - HDL to Remote FPGA at reposiTUm , opens an external URL in a new windowBauer, F., Braun, F., Hauer, D., Jantsch, A., Kobelrausch, M. D., Mosbeck, M., TaheriNejad, N., & Vogt, P.-S. (2021). MELODI: An Online Platform for Mass Education of Digital Design - HDL to Remote FPGA. In 2021 31st International Conference on Field-Programmable Logic and Applications (FPL). 2021 31st International Conference on Field-Programmable Logic and Applications (FPL), Dresden, Deutschland, Germany. IEEE. https://doi.org/10.1109/fpl53798.2021.00084, opens an external URL in a new window
-
| MELODI: A Mass e-Learning System for Design, Test, and Prototyping of Digital Hardware at reposiTUm , opens an external URL in a new windowBauer, F., Braun, F., Hauer, D., Jantsch, A., Kobelrausch, M., Mosbeck, M., TaheriNejad, N., & Vogt, P.-S. (2021). MELODI: A Mass e-Learning System for Design, Test, and Prototyping of Digital Hardware. 2021 Design, Automation & Test in Europe (DATE), Grenoble, France, France.
-
| Nyuzi: An Open Source GPGPU for Graphics, Enhanced with OpenCL Compiler for Calculations at reposiTUm , opens an external URL in a new windowBush, J., TaheriNejad, N., Willegger, E., Wojcik, M., Kessler, M., Blatnik, J. S., Daktylidis, I., Ferdigg, J., & Haslauer, D. (2021). Nyuzi: An Open Source GPGPU for Graphics, Enhanced with OpenCL Compiler for Calculations. In IEEE Design, Automation & Test in Europe (p. 1). IEEE.
-
| MLComp: A Methodology for Machine Learning-based Performance Estimation and Adaptive Selection of Pareto-Optimal Compiler Optimization Sequences at reposiTUm , opens an external URL in a new windowColucci, A., Juhasz, D., Mosbeck, M., Marchisio, A., Rehman, S., Kreutzer, M., Nadbath, G., Jantsch, A., & Shafique, M. (2021). MLComp: A Methodology for Machine Learning-based Performance Estimation and Adaptive Selection of Pareto-Optimal Compiler Optimization Sequences. In 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE). 2021 Design, Automation & Test in Europe, Online, Unknown. https://doi.org/10.23919/date51398.2021.9474158, opens an external URL in a new window
-
| FPGA optimized dynamic post-training Quantization of Tiny-YoloV3 at reposiTUm , opens an external URL in a new windowDallinger, D., & Wess, M. (2021). FPGA optimized dynamic post-training Quantization of Tiny-YoloV3.
-
| Intelligent Management of Mobile Systems Through Computational Self-Awareness at reposiTUm , opens an external URL in a new windowDonyanavard, B., Rahmani, A. M., Jantsch, A., Mutlu, O., & Dutt, N. (2021). Intelligent Management of Mobile Systems Through Computational Self-Awareness. In V. Milutinović (Ed.), Advances in Systems Analysis, Software Engineering, and High Performance Computing (pp. 41–73). IGI Global. https://doi.org/10.4018/978-1-7998-7156-9.ch004, opens an external URL in a new window
-
| Dynamic Fault Tree Models for FPGA Fault Tolerance and Reliability at reposiTUm , opens an external URL in a new windowElderhalli, Y., El-Araby, N., Hasan, O., Jantsch, A., & Tahar, S. (2021). Dynamic Fault Tree Models for FPGA Fault Tolerance and Reliability. In 2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Tampa, United States of America (the). https://doi.org/10.1109/isvlsi51109.2021.00044, opens an external URL in a new window
-
| Automated Pruning of Neural Networks for Mobile Applications at reposiTUm , opens an external URL in a new windowGlinserer, A., Lechner, M., & Wendt, A. (2021). Automated Pruning of Neural Networks for Mobile Applications. In 2021 IEEE 19th International Conference on Industrial Informatics (INDIN). IEEE, Austria. IEEE. https://doi.org/10.1109/indin45523.2021.9557525, opens an external URL in a new window
-
| Neural Network Compression Through Shunt Connections and Knowledge Distillation for Semantic Segmentation Problems at reposiTUm , opens an external URL in a new windowHaas, B., Wendt, A., Jantsch, A., & Wess, M. (2021). Neural Network Compression Through Shunt Connections and Knowledge Distillation for Semantic Segmentation Problems. In I. Maglogiannis, J. MacIntyre, & L. Iliadis (Eds.), Artificial Intelligence Applications and Innovations - 17th IFIP WG 12.5 International Conference, AIAI 2021, Hersonissos, Crete, Greece, June 25–27, 2021, Proceedings (pp. 349–361). Springer Nature Switzerland AG. https://doi.org/10.1007/978-3-030-79150-6_28, opens an external URL in a new window
-
| Re-enacting rare multi-modal real-world grid events to generate ML training data sets at reposiTUm , opens an external URL in a new windowHauer, D., Bittner, M., Cejka, S., Mosshammer, R., Kintzler, F., Leopold, T., & Wilker, S. (2021). Re-enacting rare multi-modal real-world grid events to generate ML training data sets. In 2021 IEEE 30th International Symposium on Industrial Electronics (ISIE). 2021 IEEE 30th International Symposium on Industrial Electronics (ISIE), Kyoto, Japan, Japan. IEEE. https://doi.org/10.1109/isie45552.2021.9576350, opens an external URL in a new window
-
| Context Aware Monitoring for Smart Grids at reposiTUm , opens an external URL in a new windowHauer, D., Götzinger, M., Jantsch, A., & Kintzler, F. (2021). Context Aware Monitoring for Smart Grids. In 2021 IEEE 30th International Symposium on Industrial Electronics (ISIE). 2021 IEEE 30th International Symposium on Industrial Electronics (ISIE), Kyoto, Japan, Japan. IEEE. https://doi.org/10.1109/isie45552.2021.9576488, opens an external URL in a new window
-
| BIFROST - A narrative simulation tool for Smart Energy scenarios - Tutorial and hands-on at reposiTUm , opens an external URL in a new windowHauer, D., Zeilinger, F., Mosshammer, R., Leopold, T., & Wilker, S. (2021). BIFROST - A narrative simulation tool for Smart Energy scenarios - Tutorial and hands-on. In ComForEn 2021: 11. Symposium Communications for Energy Systems (pp. 123–129). Österreichischen Verbandes für Elektrotechnik.
-
| Embedded Machine Learning Demonstrator at reposiTUm , opens an external URL in a new windowIvanov, M., Wess, M., & Jantsch, A. (2021). Embedded Machine Learning Demonstrator.
-
| Collision-Free Deep Reinforcement Learning for Mobile Robots using Crash-Prevention Policy at reposiTUm , opens an external URL in a new windowKobelrausch, M. D., & Jantsch, A. (2021). Collision-Free Deep Reinforcement Learning for Mobile Robots using Crash-Prevention Policy. In 2021 7th International Conference on Control, Automation and Robotics (ICCAR). 2021 7th International Conference on Control, Automation and Robotics (ICCAR), Singapore, Singapore. IEEE. https://doi.org/10.1109/iccar52225.2021.9463474, opens an external URL in a new window
-
| Impact of Input Data on Intelligence Partitioning Decisions for IoT Smart Camera Nodes at reposiTUm , opens an external URL in a new windowLeal, I. S., Shallari, I., Krug, S., Jantsch, A., & O’Nils, M. (2021). Impact of Input Data on Intelligence Partitioning Decisions for IoT Smart Camera Nodes. Electronics, 10(16), 1898. https://doi.org/10.3390/electronics10161898, opens an external URL in a new window
-
| Blackthorn: Latency Estimation Framework for CNNs on Embedded Nvidia Platforms at reposiTUm , opens an external URL in a new windowLechner, M., & Jantsch, A. (2021). Blackthorn: Latency Estimation Framework for CNNs on Embedded Nvidia Platforms. IEEE Access, 9, 110074–110084. https://doi.org/10.1109/access.2021.3101936, opens an external URL in a new window
-
| Simulation-based methodology for optimizing Energy Community Controllers at reposiTUm , opens an external URL in a new windowLeopold, T., Bauer, V., Brathukin, A., Hauer, D., Wilker, S., Franzl, G., Mosshammer, R., & Sauter, T. (2021). Simulation-based methodology for optimizing Energy Community Controllers. In 2021 IEEE 30th International Symposium on Industrial Electronics (ISIE). 2021 IEEE 30th International Symposium on Industrial Electronics (ISIE), Kyoto, Japan, Japan. IEEE. https://doi.org/10.1109/isie45552.2021.9576277, opens an external URL in a new window
-
| A Fast Line Segment Detector Using Approximate Computing at reposiTUm , opens an external URL in a new windowOssimitz, C., & TaheriNejad, N. (2021). A Fast Line Segment Detector Using Approximate Computing. In 2021 IEEE International Symposium on Circuits and Systems (ISCAS). IEEE, Austria. IEEE International Symposium on Circuits and Systems (ISCAS). https://doi.org/10.1109/iscas51556.2021.9401660, opens an external URL in a new window
-
| Wearables in Healthcare at reposiTUm , opens an external URL in a new windowPerego, P., TaheriNejad, N., & Maurizio, C. (Eds.). (2021). Wearables in Healthcare. Springer.
-
| BioNetExplorer: Architecture-Space Exploration of Biosignal Processing Deep Neural Networks for Wearables at reposiTUm , opens an external URL in a new windowPrabakaran, B. S., Akhtar, A., Rehman, S., Hasan, O., & Shafique, M. (2021). BioNetExplorer: Architecture-Space Exploration of Biosignal Processing Deep Neural Networks for Wearables. IEEE Internet of Things Journal, 8(17), 13251–13265. https://doi.org/10.1109/jiot.2021.3065815, opens an external URL in a new window
-
| High-Accuracy Multiply-Accumulate (MAC) Technique for Unary Stochastic Computing at reposiTUm , opens an external URL in a new windowSchober, P., Najafi, M. H., & Taherinejad, N. (2021). High-Accuracy Multiply-Accumulate (MAC) Technique for Unary Stochastic Computing. IEEE Transactions on Computers, 1–1. https://doi.org/10.1109/tc.2021.3087027, opens an external URL in a new window
-
| Design space exploration on IoT node: Trade-offs in processing and communication at reposiTUm , opens an external URL in a new windowShallari, I., Leal, I. S., Krug, S., Jantsch, A., & O’Nils, M. (2021). Design space exploration on IoT node: Trade-offs in processing and communication. IEEE Access, 9, 65078–65090. https://doi.org/10.1109/access.2021.3074875, opens an external URL in a new window
-
| SIXOR: Single-cycle In-memristor XOR at reposiTUm , opens an external URL in a new windowTaheriNejad, N. (2021). SIXOR: Single-cycle In-memristor XOR. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 29(5), 925–935. https://doi.org/10.1109/tvlsi.2021.3062293, opens an external URL in a new window
-
| Reliable and Resilient AI and IoT-based Personalised Healthcare Services: A Survey at reposiTUm , opens an external URL in a new windowTaimoor, N., & Rehman, S. (2021). Reliable and Resilient AI and IoT-based Personalised Healthcare Services: A Survey. IEEE Access, 10, 535–563. https://doi.org/10.1109/access.2021.3137364, opens an external URL in a new window
-
| ANNETTE: Accurate Neural Network Execution Time Estimation With Stacked Models at reposiTUm , opens an external URL in a new windowWess, M., Ivanov, M., Unger, C., Nookala, A., Wendt, A., & Jantsch, A. (2021). ANNETTE: Accurate Neural Network Execution Time Estimation With Stacked Models. IEEE Access, 9, 3545–3556. https://doi.org/10.1109/access.2020.3047259, opens an external URL in a new window
-
| Self-aware Cyber-Physical Systems at reposiTUm , opens an external URL in a new windowBellman, K. L., Christopher, L., Dutt, N., Esterle, L., Herkersdorf, A., Jantsch, A., TaheriNejad, N., Lewis, P., Platzner, M., & Tammemäe, K. (2020). Self-aware Cyber-Physical Systems. ACM Transactions on Cyber-Physical Systems, 4(4), 1–26. https://doi.org/10.1145/3375716, opens an external URL in a new window
-
| Exact In-Memory Multiplication Based on Deterministic Stochastic Computing at reposiTUm , opens an external URL in a new windowAlam, M. R., Najafi, M. H., & TaheriNejad, N. (2020). Exact In-Memory Multiplication Based on Deterministic Stochastic Computing. In 2020 IEEE International Symposium on Circuits and Systems (ISCAS). IEEE. https://doi.org/10.1109/iscas45731.2020.9180743, opens an external URL in a new window
-
| SSCNets: Robustifying DNNs using Secure Selective Convolutional Filters at reposiTUm , opens an external URL in a new windowAli, H., Khalid, F., Tariq, H. A., Hanif, M. A., Ahmed, R., & Rehman, S. (2020). SSCNets: Robustifying DNNs using Secure Selective Convolutional Filters. IEEE Design and Test, 37(2), 58–65. https://doi.org/10.1109/mdat.2019.2961325, opens an external URL in a new window
-
| Simulation Support for Explainable Cyber-Physical Energy Systems at reposiTUm , opens an external URL in a new windowAryan, P. R., Ekaputra, F. J., Sabou, M., Hauer, D., Mosshammer, R., Einfalt, A., Miksa, T., & Rauber, A. (2020). Simulation Support for Explainable Cyber-Physical Energy Systems. In 2020 8th Workshop on Modeling and Simulation of Cyber-Physical Energy Systems. IEEE. https://doi.org/10.1109/mscpes49613.2020.9133700, opens an external URL in a new window
-
| Evaluation of Reinforcement Learning Methods for a Self-learning System at reposiTUm , opens an external URL in a new windowBechtold, D., Wendt, A., & Jantsch, A. (2020). Evaluation of Reinforcement Learning Methods for a Self-learning System. In Proceedings of the 12th International Conference on Agents and Artificial Intelligence (ICAART 2020) (pp. 36–47). SCITEPRESS - Science and Technology Publications, Lda.
-
| A Semiparallel Full-Adder in IMPLY Logic at reposiTUm , opens an external URL in a new windowGanjeheizadeh Rohani, S., Taherinejad, N., & Radakovits, D. (2020). A Semiparallel Full-Adder in IMPLY Logic. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 28(1), 297–301. https://doi.org/10.1109/tvlsi.2019.2936873, opens an external URL in a new window
-
| RoSA: A Framework for Modeling Self-Awareness in Cyber-Physical Systems at reposiTUm , opens an external URL in a new windowGötzinger, M., Juhasz, D., TaheriNejad, N., Willegger, E., Tutzer, B., Liljeberg, P., Jantsch, A., & Rahmani, A. M. (2020). RoSA: A Framework for Modeling Self-Awareness in Cyber-Physical Systems. IEEE Access, 8, 141373–141394. https://doi.org/10.1109/access.2020.3012824, opens an external URL in a new window
-
| A Low-Power Signal-Dependent Sampling Technique: Analysis, Implementation, and Applications at reposiTUm , opens an external URL in a new windowHadizadeh Hafshejani, E., Elmi, M., TaheriNejad, N., Fotowat-Ahmadi, A., & Mirabbasi, S. (2020). A Low-Power Signal-Dependent Sampling Technique: Analysis, Implementation, and Applications. IEEE Transactions on Circuits and Systems I: Regular Papers, 67(12), 4334–4347. https://doi.org/10.1109/tcsi.2020.3021290, opens an external URL in a new window
-
| Robust Computing for Machine Learning-Based Systems at reposiTUm , opens an external URL in a new windowHanif, M. A., Khalid, F., Putra, R. V. W., Teimoori, M. T., Kriebel, F., Zhang, J. (Jun), Liu, K., Rehman, S., Theocharides, T., Artusi, A., Garg, S., & Shafique, M. (2020). Robust Computing for Machine Learning-Based Systems. In J. Henkel & N. Dutt (Eds.), Dependable Embedded Systems (pp. 479–503). Springer Nature Switzerland AG. https://doi.org/10.1007/978-3-030-52017-5_20, opens an external URL in a new window
-
| Plug & Play Monitoring for Distribution Substations at reposiTUm , opens an external URL in a new windowHauer, D., Diwold, K., Schuss, M., Krammer, L., & Sauter, T. (2020). Plug & Play Monitoring for Distribution Substations. In 2020 IEEE International Conference on Industrial Technology (ICIT). 2020 IEEE International Conference on Industrial Technology (ICIT), Buenos Aires, Argentina. IEEE. https://doi.org/10.1109/icit45562.2020.9067226, opens an external URL in a new window
-
| A Methodology for Resilient Control and Monitoring in Smart Grids at reposiTUm , opens an external URL in a new windowHauer, D., Ratasich, D., Krammer, L., & Jantsch, A. (2020). A Methodology for Resilient Control and Monitoring in Smart Grids. In 2020 IEEE International Conference on Industrial Technology (ICIT). 2020 IEEE International Conference on Industrial Technology (ICIT), Buenos Aires, Argentina. IEEE. https://doi.org/10.1109/icit45562.2020.9067283, opens an external URL in a new window
-
| Embedded Smart Grid Simulation - BIFROST meets OpenEMS at reposiTUm , opens an external URL in a new windowHauer, D., Wilker, S., Bauer, V., & Leopold, T. (2020). Embedded Smart Grid Simulation - BIFROST meets OpenEMS. OpenEMS Konferenz 2020, Online, Unknown.
-
| RAP Model—Enabling Cross-Layer Analysis and Optimization for System-on-Chip Resilience at reposiTUm , opens an external URL in a new windowHerkersdorf, A., Engel, M., Glaß, M., Henkel, J., Kleeberger, V. B., Kühn, J. M., Marwedel, P., Mueller-Gritschneder, D., Nassif, S. R., Rehman, S., Rosenstiel, W., Schlichtmann, U., Shafique, M., Teich, J., Wehn, N., & Weis, C. (2020). RAP Model—Enabling Cross-Layer Analysis and Optimization for System-on-Chip Resilience. In J. Henkel & N. Dutt (Eds.), Dependable Embedded Systems (pp. 1–27). Springer Nature Switzerland AG. https://doi.org/10.1007/978-3-030-52017-5_1, opens an external URL in a new window
-
| Embodied Self-Aware Computing Systems at reposiTUm , opens an external URL in a new windowHoffmann, H., Jantsch, A., & Dutt, N. D. (2020). Embodied Self-Aware Computing Systems. Proceedings of the IEEE, 108(7), 1027–1046. https://doi.org/10.1109/jproc.2020.2977054, opens an external URL in a new window
-
| Profiling Energy Consumption of Deep Neural Networks on NVIDIA Jetson Nano at reposiTUm , opens an external URL in a new windowHolly, S., Wendt, A., & Lechner, M. (2020). Profiling Energy Consumption of Deep Neural Networks on NVIDIA Jetson Nano. In 2020 11th International Green and Sustainable Computing Workshops (IGSC). IEEE Explore, Austria. IEEE Xplore. https://doi.org/10.1109/igsc51522.2020.9290876, opens an external URL in a new window
-
| Prosumer and Demand-Side Management Impact on Rural Communities’ Energy Balance at reposiTUm , opens an external URL in a new windowHowind, S., Bauer, V., Wendt, A., Franzl, G., Sauter, T., & Wilker, S. (2020). Prosumer and Demand-Side Management Impact on Rural Communities’ Energy Balance. In 2020 25th IEEE International Conference on Emerging Technologies and Factory Automation (ETFA). IEEE, Austria. IEEE. https://doi.org/10.1109/etfa46521.2020.9212185, opens an external URL in a new window
-
| Introduction to the Special Issue on Self-Aware Cyber-physical Systems at reposiTUm , opens an external URL in a new windowJantsch, A., Lewis, P. R., & Dutt, N. (2020). Introduction to the Special Issue on Self-Aware Cyber-physical Systems. ACM Transactions on Cyber-Physical Systems, 4(4), 1–2. https://doi.org/10.1145/3397266, opens an external URL in a new window
-
| FaDec: A Fast Decision-based Attack for Adversarial Machine Learning at reposiTUm , opens an external URL in a new windowKhalid, F., Ali, H., Abdullah Hanif, M., Rehman, S., Ahmed, R., & Shafique, M. (2020). FaDec: A Fast Decision-based Attack for Adversarial Machine Learning. In 2020 International Joint Conference on Neural Networks (IJCNN). 2020 International Joint Conference on Neural Networks (IJCNN), Glasgow, United Kingdom of Great Britain and Northern Ireland (the). IEEE. https://doi.org/10.1109/ijcnn48605.2020.9207635, opens an external URL in a new window
-
| FaDec: A Fast Decision-based Attack for Adversarial Machine Learning at reposiTUm , opens an external URL in a new windowKhalid, F., Hassan, A., Hanif, M. A., Rehman, S., Ahmed, R., & Shafique, M. (2020). FaDec: A Fast Decision-based Attack for Adversarial Machine Learning. In 2020 International Joint Conference on Neural Networks (IJCNN). IJCNN. https://doi.org/10.1109/ijcnn48605.2020.9207635, opens an external URL in a new window
-
| Comparison of Preprocessors for Machine Learning in the Predictive Maintenance Domain at reposiTUm , opens an external URL in a new windowKollmann, S., Estaji, A., Bratukhin, A., Wendt, A., & Sauter, T. (2020). Comparison of Preprocessors for Machine Learning in the Predictive Maintenance Domain. In 2020 IEEE 29th International Symposium on Industrial Electronics (ISIE). 2020 IEEE 29th International Symposium on Industrial Electronics (ISIE), Delft, Netherlands (the). IEEE. https://doi.org/10.1109/isie45063.2020.9152384, opens an external URL in a new window
-
| Dependable Software Generation and Execution on Embedded Systems at reposiTUm , opens an external URL in a new windowKriebel, F., Chen, K.-H., Rehman, S., Henkel, J., Chen, J.-J., & Shafique, M. (2020). Dependable Software Generation and Execution on Embedded Systems. In J. Henkel & N. Dutt (Eds.), Dependable Embedded Systems (pp. 139–160). Springer Nature Switzerland AG. https://doi.org/10.1007/978-3-030-52017-5_6, opens an external URL in a new window
-
| Fault-Tolerant Computing with Heterogeneous Hardening Modes at reposiTUm , opens an external URL in a new windowKriebel, F., Khalid, F., Prabakaran, B. S., Rehman, S., & Shafique, M. (2020). Fault-Tolerant Computing with Heterogeneous Hardening Modes. In J. Henkel & N. Dutt (Eds.), Dependable Embedded Systems (pp. 161–180). Springer Nature Switzerland AG. https://doi.org/10.1007/978-3-030-52017-5_7, opens an external URL in a new window
-
| Reliable Respiratory Rate Extraction using PPG at reposiTUm , opens an external URL in a new windowPollreisz, D., & Nejad, N. T. (2020). Reliable Respiratory Rate Extraction using PPG. In 2020 IEEE 11th Latin American Symposium on Circuits & Systems (LASCAS). IEEE, Austria. IEEE. https://doi.org/10.1109/lascas45839.2020.9068993, opens an external URL in a new window
-
| Efficient Respiratory Rate Extraction on a Smartwatch at reposiTUm , opens an external URL in a new windowPollreisz, D., & TaheriNejad, N. (2020). Efficient Respiratory Rate Extraction on a Smartwatch. In 2020 42nd Annual International Conference of the IEEE Engineering in Medicine & Biology Society (EMBC). IEEE. https://doi.org/10.1109/embc44109.2020.9175470, opens an external URL in a new window
-
| A Memristive Multiplier using Semi-Serial IMPLY-based Adder at reposiTUm , opens an external URL in a new windowRadakovits, D., TaheriNejad, N., Cai, M., Delaroche, T., & Mirabbasi, S. (2020). A Memristive Multiplier using Semi-Serial IMPLY-based Adder. IEEE Transactions on Circuits and Systems, 67(5), 1495–1506.
-
| Auswertung von Cloudbasierten Machine Learning Frameworks für Supervised Machine Learning at reposiTUm , opens an external URL in a new windowRoth, J., Wendt, A., & Wilker, S. (2020). Auswertung von Cloudbasierten Machine Learning Frameworks für Supervised Machine Learning.
-
| Power-Aware Fault-Tolerance for Embedded Systems at reposiTUm , opens an external URL in a new windowSalehi, M., Kriebel, F., Rehman, S., & Shafique, M. (2020). Power-Aware Fault-Tolerance for Embedded Systems. In J. Henkel & N. Dutt (Eds.), Dependable Embedded Systems (pp. 565–588). Springer Nature Switzerland AG. https://doi.org/10.1007/978-3-030-52017-5_24, opens an external URL in a new window
-
| User-centric Resource Management for Embedded Multi-core Processors at reposiTUm , opens an external URL in a new windowShamsa, E., Kanduri, A., TaheriNejad, N., Proebstl, A., Chakraborty, S., Rahmani, A.-M., & Liljeberg, P. (2020). User-centric Resource Management for Embedded Multi-core Processors. In 2020 33rd International Conference on VLSI Design and 2020 19th International Conference on Embedded Systems (VLSID) (pp. 43–48). IEEE.
-
| Blood Pressure Estimation Using a Single PPG Signal at reposiTUm , opens an external URL in a new windowTaheriNejad, N., & Yasaman, R. (2020). Blood Pressure Estimation Using a Single PPG Signal. In Wearables in Healthcare. International Conference on Wearables in Healthcare 2020 (pp. 3–11). Springer. https://doi.org/10.1007/978-3-030-76066-3_1, opens an external URL in a new window
-
| Autonomous Systems, Trust and Guarantees at reposiTUm , opens an external URL in a new windowTaheriNejad, N., Herkersdorf, A., & Jantsch, A. (2020). Autonomous Systems, Trust and Guarantees. IEEE Design and Test, 39(1), 42–48. https://doi.org/10.1109/mdat.2020.3024145, opens an external URL in a new window
-
| Area-optimized Accurate and Approximate Softcore Signed Multiplier Architectures at reposiTUm , opens an external URL in a new windowUllah, S., Schmidl, H., Sahoo, S. S., Rehman, S., & Kumar, A. (2020). Area-optimized Accurate and Approximate Softcore Signed Multiplier Architectures. IEEE Transactions on Computers, 70(3), 384–392. https://doi.org/10.1109/tc.2020.2988404, opens an external URL in a new window
-
| Cognitive Architectures for Process Monitoring - an Analysis at reposiTUm , opens an external URL in a new windowWendt, A., Kollmann, S., Bratukhin, A., Estaji, A., Sauter, T., & Jantsch, A. (2020). Cognitive Architectures for Process Monitoring - an Analysis. In 2020 IEEE 18th International Conference on Industrial Informatics (INDIN) (pp. 167–173). IEEE Industrial Electronics Society.
-
| Speeding up Common Hyperparameter Optimization Methods by a Two-Phase-Search at reposiTUm , opens an external URL in a new windowWendt, A., Wuschnig, M., & Lechner, M. (2020). Speeding up Common Hyperparameter Optimization Methods by a Two-Phase-Search. In IECON 2020 The 46th Annual Conference of the IEEE Industrial Electronics Society (pp. 517–522). IEEE Industrial Electronics Society.
-
| Auswertung verschiedener Methoden der Hyperparameteroptimierung in Machine Learning at reposiTUm , opens an external URL in a new windowWuschnig, M., & Wendt, A. (2020). Auswertung verschiedener Methoden der Hyperparameteroptimierung in Machine Learning.
-
| HESSLE-FREE at reposiTUm , opens an external URL in a new windowMoazzemi, K., Maity, B., Yi, S., Rahmani, A. M., & Dutt, N. (2019). HESSLE-FREE. ACM Transactions on Embedded Computing Systems, 18(5s), 1–19. https://doi.org/10.1145/3358203, opens an external URL in a new window
-
| TrojanZero: Switching Activity-Aware Design of Undetectable Hardware Trojans with Zero Power and Area Footprint at reposiTUm , opens an external URL in a new windowAbbassi, I. H., Khalid, F., Rehman, S., Kamboh, A. M., Jantsch, A., Garg, S., & Shafique, M. (2019). TrojanZero: Switching Activity-Aware Design of Undetectable Hardware Trojans with Zero Power and Area Footprint. In 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE). 2019 IEEE/ACM Design, Automation and Test in Europe Conference (DATE’19), Florence, Italy. IEEE. https://doi.org/10.23919/date.2019.8714829, opens an external URL in a new window
-
| Energy-efficient and Reliable Wearable Internet-of-Things through Fog-Assisted Dynamic Goal Management at reposiTUm , opens an external URL in a new windowAnzanpour, A., Rashid, H., Rahmani, A. M., Jantsch, A., Dutt, N., & Liljeberg, P. (2019). Energy-efficient and Reliable Wearable Internet-of-Things through Fog-Assisted Dynamic Goal Management. In Procedia Computer Science (pp. 493–500). Academic Press / Elsevier. https://doi.org/10.1016/j.procs.2019.04.067, opens an external URL in a new window
-
| Personalized Maternal Sleep Quality Assessment: An Objective IoT-based Longitudinal Study at reposiTUm , opens an external URL in a new windowAzimi, I., Oti, O., Labbaf, S., Niela-Vilen, H., Axelin, A., Dutt, N., Liljeberg, P., & Rahmani, A. M. (2019). Personalized Maternal Sleep Quality Assessment: An Objective IoT-based Longitudinal Study. IEEE Access, 7, 93433–93447. https://doi.org/10.1109/access.2019.2927781, opens an external URL in a new window
-
| Missing data resilient decision-making for healthcare IoT through personalization: A case study on maternal health at reposiTUm , opens an external URL in a new windowAzimi, I., Pahikkala, T., Rahmani, A. M., Niela-Vilén, H., Axelin, A., & Liljeberg, P. (2019). Missing data resilient decision-making for healthcare IoT through personalization: A case study on maternal health. Future Generation Computer Systems: The International Journal of EScience, 96, 297–308. https://doi.org/10.1016/j.future.2019.02.015, opens an external URL in a new window
-
| Computer-aided arrhythmia diagnosis with bio-signal processing: A survey of trends and techniques at reposiTUm , opens an external URL in a new windowDinakarrao, S. M. P., Jantsch, A., & Shafique, M. (2019). Computer-aided arrhythmia diagnosis with bio-signal processing: A survey of trends and techniques. ACM Computing Surveys, 52(2), 1–37. https://doi.org/10.1145/3297711, opens an external URL in a new window
-
| Application and Thermal-reliability-aware Reinforcement Learning Based Multi-core Power Management at reposiTUm , opens an external URL in a new windowDinakarrao, S. M. P., Joseph, A., Haridass, A., Shafique, M., Henkel, J., & Homayoun, H. (2019). Application and Thermal-reliability-aware Reinforcement Learning Based Multi-core Power Management. ACM Journal on Emerging Technologies in Computing Systems, 15(4), 1–19. https://doi.org/10.1145/3323055, opens an external URL in a new window
-
| TUK a social companion robot at reposiTUm , opens an external URL in a new windowFabian, G., & TaheriNejad, N. (2019). TUK a social companion robot. In A. Pichler, P. M. Roth, R. Sablatnig, G. Stübl, & M. Vincze (Eds.), Proceedings of the ARW & OAGM Workshop 2019 (pp. 105–106). ARW & OAGM Workshop. https://doi.org/10.3217/978-3-85125-663-5-18, opens an external URL in a new window
-
| Feasibility of smart wristbands for continuous monitoring during pregnancy and one month after birth at reposiTUm , opens an external URL in a new windowGrym, K., Niela-Vilén, H., Ekholm, E., Hamari, L., Azimi, I., Rahmani, A., Liljeberg, P., Löyttyniemi, E., & Axelin, A. (2019). Feasibility of smart wristbands for continuous monitoring during pregnancy and one month after birth. BMC Pregnancy and Childbirth, 19(34). https://doi.org/10.1186/s12884-019-2187-9, opens an external URL in a new window
-
| Confidence-Enhanced Early Warning Score Based on Fuzzy Logic at reposiTUm , opens an external URL in a new windowGötzinger, M., Anzanpour, A., Azimi, I., TaheriNejad, N., Jantsch, A., Rahmani, A. M., & Liljeberg, P. (2019). Confidence-Enhanced Early Warning Score Based on Fuzzy Logic. Mobile Networks and Applications, 27(2), 691–708. https://doi.org/10.1007/s11036-019-01324-5, opens an external URL in a new window
-
| Model-free condition monitoring with confidence at reposiTUm , opens an external URL in a new windowGötzinger, M., TaheriNejad, N., Kholerdi, H. A., Jantsch, A., Willegger, E., Glatzl, T., Rahmani, A. M., Sauter, T., & Liljeberg, P. (2019). Model-free condition monitoring with confidence. International Journal of Computer Integrated Manufacturing, 32(4–5), 466–481. https://doi.org/10.1080/0951192x.2019.1605201, opens an external URL in a new window
-
| Energy-Efficient Design of Advanced Machine Learning Hardware at reposiTUm , opens an external URL in a new windowHanif, M. A., Hafiz, R., Javed, M. U., Rehman, S., & Shafique, M. (2019). Energy-Efficient Design of Advanced Machine Learning Hardware. In Machine Learning in VLSI Computer-Aided Design (pp. 647–678). Springer International Publishing. https://doi.org/10.1007/978-3-030-04666-8_21, opens an external URL in a new window
-
| Hardware–Software Approximations for Deep Neural Networks at reposiTUm , opens an external URL in a new windowHanif, M. A., Javed, M. U., Hafiz, R., Rehman, S., & Shafique, M. (2019). Hardware–Software Approximations for Deep Neural Networks. In Approximate Circuits (pp. 269–288). Springer International Publishing. https://doi.org/10.1007/978-3-319-99322-5_13, opens an external URL in a new window
-
| MemGANs: Memory Management for Energy-Efficient Acceleration of Complex Computations in Hardware Architectures for Generative Adversarial Networks at reposiTUm , opens an external URL in a new windowHanif, M. A., Zuhaib Akbar, M., Ahmed, R., Rehman, S., Jantsch, A., & Shafique, M. (2019). MemGANs: Memory Management for Energy-Efficient Acceleration of Complex Computations in Hardware Architectures for Generative Adversarial Networks. In 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED). 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), Lausanne, Switzerland. IEEE. https://doi.org/10.1109/islped.2019.8824833, opens an external URL in a new window
-
| Towards a Formal Model of Recursive Self-Reflection at reposiTUm , opens an external URL in a new windowJantsch, A. (2019). Towards a Formal Model of Recursive Self-Reflection. In S. Saidi, R. Ernst, & D. Ziegenbein (Eds.), Workshop on Autonomous Systems Design (ASD 2019)} (pp. 1–15). Schloss Dagstuhl--Leibniz-Zentrum fuer Informatik. https://doi.org/10.4230/OASIcs.ASD.2019.6, opens an external URL in a new window
-
| Dynamic Constraints for Mixed-Criticality Systems at reposiTUm , opens an external URL in a new windowJuhász, D., & Jantsch, A. (2019). Dynamic Constraints for Mixed-Criticality Systems. In Proceedings of the International Conference on Omni-Layer Intelligent Systems. International Conference on Omni-Layer Intelligent Systems (COINS ’19), Crete, Greece. https://doi.org/10.1145/3312614.3312625, opens an external URL in a new window
-
| QuSecNets: Quantization-based Defense Mechanism for Securing Deep Neural Network against Adversarial Attacks at reposiTUm , opens an external URL in a new windowKhalid, F., Ali, H., Tariq, H., Hanif, M. A., Rehman, S., Ahmed, R., & Shafique, M. (2019). QuSecNets: Quantization-based Defense Mechanism for Securing Deep Neural Network against Adversarial Attacks. In 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS). 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS), Rhodes, Greece. IEEE. https://doi.org/10.1109/iolts.2019.8854377, opens an external URL in a new window
-
| TrISec: Training Data-Unaware Imperceptible Security Attacks on Deep Neural Networks at reposiTUm , opens an external URL in a new windowKhalid, F., Hanif, M. A., Rehman, S., Ahmed, R., & Shafique, M. (2019). TrISec: Training Data-Unaware Imperceptible Security Attacks on Deep Neural Networks. In 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS). 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS), Rhodes, Greece. IEEE. https://doi.org/10.1109/iolts.2019.8854425, opens an external URL in a new window
-
| FAdeML: Understanding the Impact of Pre-Processing Noise Filtering on Adversarial Machine Learning at reposiTUm , opens an external URL in a new windowKhalid, F., Hanif, M. A., Rehman, S., Qadir, J., & Shafique, M. (2019). FAdeML: Understanding the Impact of Pre-Processing Noise Filtering on Adversarial Machine Learning. In 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE). 2019 IEEE/ACM Design, Automation and Test in Europe Conference (DATE’19), Florence, Italy. IEEE. https://doi.org/10.23919/date.2019.8715141, opens an external URL in a new window
-
| An Ultra Low-power Low-offset Double-tail Comparator at reposiTUm , opens an external URL in a new windowKhorami, A., Saeidi, R., Sharifkhani, M., & TaheriNejad, N. (2019). An Ultra Low-power Low-offset Double-tail Comparator. In IEEE New Circuits and Systems symposium (NewCAS) (p. 4). IEEE.
-
| Studying Aging and Soft Error Mitigation Jointly under Constrained Scenarios in Multi-Cores at reposiTUm , opens an external URL in a new windowKriebel, F., Rehman, S., & Shafique, M. (2019). Studying Aging and Soft Error Mitigation Jointly under Constrained Scenarios in Multi-Cores. In 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS). 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS), Rhodes, Greece. IEEE. https://doi.org/10.1109/iolts.2019.8854444, opens an external URL in a new window
-
| Self-adaptive Memory Approximation: A Formal Control Theory Approach at reposiTUm , opens an external URL in a new windowMaity, B., Shoushtari, M., Rahmani, A. M., & Dutt, N. (2019). Self-adaptive Memory Approximation: A Formal Control Theory Approach. IEEE Embedded Systems Letters, 12(2), 33–36. https://doi.org/10.1109/les.2019.2941018, opens an external URL in a new window
-
| Hierarchical adaptive Multi-objective resource management for many-core systems at reposiTUm , opens an external URL in a new windowMartins, A. L. del M., da Silva, A. H. L., Rahmani, A. M., Dutt, N., & Moraes, F. G. (2019). Hierarchical adaptive Multi-objective resource management for many-core systems. The Journal of Systems Architecture: Embedded Software Design, 97, 416–427. https://doi.org/10.1016/j.sysarc.2019.01.006, opens an external URL in a new window
-
| FRCD: Fast recovery of compressible data in flash memories at reposiTUm , opens an external URL in a new windowNazari, M., Zadeh, R. T., Asghari, S. A., Marvasti, M. B., & Rahmani, A. M. (2019). FRCD: Fast recovery of compressible data in flash memories. Computers and Electrical Engineering, 78, 520–535. https://doi.org/10.1016/j.compeleceng.2019.04.018, opens an external URL in a new window
-
| Approximate Multi-Accelerator Tiled Architecture for Energy-Efficient Motion Estimation at reposiTUm , opens an external URL in a new windowPrabakaran, B. S., El-Harouni, W., Rehman, S., & Shafique, M. (2019). Approximate Multi-Accelerator Tiled Architecture for Energy-Efficient Motion Estimation. In Approximate Circuits (pp. 249–268). Springer International Publishing. https://doi.org/10.1007/978-3-319-99322-5_12, opens an external URL in a new window
-
| XBioSiP at reposiTUm , opens an external URL in a new windowPrabakaran, B. S., Rehman, S., & Shafique, M. (2019). XBioSiP. In Proceedings of the 56th Annual Design Automation Conference 2019. 2019 56th ACM/IEEE Design Automation Conference (DAC), Las Vegas, United States of America (the). IEEE. https://doi.org/10.1145/3316781.3317933, opens an external URL in a new window
-
| Implementation and characterization of a memristive memory system at reposiTUm , opens an external URL in a new windowRadakovits, D., & TaheriNejad, N. (2019). Implementation and characterization of a memristive memory system. In IEEE Canadian Conference of Electrical and Computer Engineering (CCECE) (pp. 1–4). IEEE.
-
| Heterogeneous Approximate Multipliers: Architectures and Design Methodologies at reposiTUm , opens an external URL in a new windowRehman, S., Prabakaran, B. S., El-Harouni, W., Shafique, M., & Henkel, J. (2019). Heterogeneous Approximate Multipliers: Architectures and Design Methodologies. In Approximate Circuits (pp. 45–66). Springer International Publishing. https://doi.org/10.1007/978-3-319-99322-5_3, opens an external URL in a new window
-
| Self-adaptive QoS management of computation and communication resources in many-core SoCs at reposiTUm , opens an external URL in a new windowRuaro, M., Jantsch, A., & Moraes, F. G. (2019). Self-adaptive QoS management of computation and communication resources in many-core SoCs. ACM Transactions on Embedded Computing Systems, 18(4), 1–21. https://doi.org/10.1145/3328755, opens an external URL in a new window
-
| Approximate computing across the hardware and software stacks at reposiTUm , opens an external URL in a new windowShafique, M., Hasan, O., Hafiz, R., Mazahir, S., Hanif, M. A., & Rehman, S. (2019). Approximate computing across the hardware and software stacks. In Many-Core Computing: Hardware and Software (pp. 497–522). IET. https://doi.org/10.1049/pbpc022e_ch20, opens an external URL in a new window
-
| Goal-Driven Autonomy for Efficient On-chip Resource Management: Transforming Objectives to Goals at reposiTUm , opens an external URL in a new windowShamsa, E., Kanduri, A., Rahmani, A. M., Liljeberg, P., Jantsch, A., & Dutt, N. (2019). Goal-Driven Autonomy for Efficient On-chip Resource Management: Transforming Objectives to Goals. In 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, Austria. IEEE. https://doi.org/10.23919/date.2019.8715134, opens an external URL in a new window
-
| Wearable Medical Devices: Challenges and Self-Aware Solutions at reposiTUm , opens an external URL in a new windowTaheriNejad, N. (2019). Wearable Medical Devices: Challenges and Self-Aware Solutions. IEEE Life Sciences Newsletter, 2, 3.
-
| Improved Machine Learning using Confidence at reposiTUm , opens an external URL in a new windowTaheriNejad, N., & Jantsch, A. (2019). Improved Machine Learning using Confidence. In 2019 IEEE Canadian Conference of Electrical and Computer Engineering (CCECE). IEEE. https://doi.org/10.1109/ccece.2019.8861962, opens an external URL in a new window
-
| From behavioral design of memristive circuits and systems to physical implementations at reposiTUm , opens an external URL in a new windowTaheriNejad, N., & Radakovits, D. (2019). From behavioral design of memristive circuits and systems to physical implementations. IEEE Circuits and Systems Magazine, 19(4), 6–18. https://doi.org/10.1109/mcas.2019.2945209, opens an external URL in a new window
-
| A Semi-Serial Topology for Compact and Fast Imply-Based Memristive Full Adders at reposiTUm , opens an external URL in a new windowTaheriNejad, N., Delaroche, T., Radakovits, D., & Mirabbasi, S. (2019). A Semi-Serial Topology for Compact and Fast Imply-Based Memristive Full Adders. In IEEE New Circuits and Systems symposium (NewCAS) (p. 4). IEEE New Circuits and Systems symposium (NewCAS).
-
| Resource Constrained Self-Aware Cyber-Physical Systems (Tutorial) at reposiTUm , opens an external URL in a new windowTaheriNejad, N., Lewis, P., Jantsch, A., Rahmani, A., & Esterle, L. (2019). Resource Constrained Self-Aware Cyber-Physical Systems (Tutorial). In 2019 IEEE 4th International Workshops on Foundations and Applications of Self* Systems (FAS*W). IEEE. https://doi.org/10.1109/fas-w.2019.00071, opens an external URL in a new window
-
| Python Wraps Yosys for Rapid Open-Source EDA Application Development at reposiTUm , opens an external URL in a new windowTutzer, B., Krieg, C., Wolf, C., & Jantsch, A. (2019). Python Wraps Yosys for Rapid Open-Source EDA Application Development. In Proceedings of the First Workshop on Open-Source Design Automation (OSDA) 2019 (p. 4).
-
| An Agent-Based Framework for Complex Networks at reposiTUm , opens an external URL in a new windowWendt, A., Götzinger, M., & Sauter, T. (2019). An Agent-Based Framework for Complex Networks. In J. MacIntyre, I. Maglogiannis, L. Iliadis, & E. Pimenidis (Eds.), Artificial Intelligence Applications and Innovations (pp. 559–570). Springer Nature Switzerland AG. https://doi.org/10.1007/978-3-030-19823-7_47, opens an external URL in a new window
-
| Review Study of the Ecodesign Voluntary Agreement for the Product Group "Videogames Consoles" at reposiTUm , opens an external URL in a new windowWilker, S., Leopold, T., Goranovic, A., Karat, M., Estaji, A., Meisel, M., Syböck, J., Rathfux, T., Rathmair, M., Zimmermann, T., Bliklen, R., Rödig, L., Spengler, L., Jepsen, D., Coyne, M., Carlberg, M., & Chandler, C. (2019). Review Study of the Ecodesign Voluntary Agreement for the Product Group “Videogames Consoles” (No. 1). https://doi.org/10.2873/598747, opens an external URL in a new window
-
| Building Robust Machine Learning Systems at reposiTUm , opens an external URL in a new windowZang, J. (Jun), Liu, K., Khalid, F., Hanif, M. A., Rehman, S., Theocharides, T., Artussi, A., Shafique, M., & Garg, S. (2019). Building Robust Machine Learning Systems. In Proceedings of the 56th Annual Design Automation Conference 2019. 2019 56th ACM/IEEE Design Automation Conference (DAC), Las Vegas, United States of America (the). IEEE. https://doi.org/10.1145/3316781.3323472, opens an external URL in a new window
-
| SmartDPM: Machine Learning-based Dynamic Power Management for Multi-Core Microprocessors at reposiTUm , opens an external URL in a new windowPudukotai Dinakarrao, S. M., Jantsch, A., & Shafique, M. (2018). SmartDPM: Machine Learning-based Dynamic Power Management for Multi-Core Microprocessors. Journal of Low Power Electronics and Applications, 14(4), 460–474. https://doi.org/10.1166/jolpe.2018.1576, opens an external URL in a new window
-
| Weighted Quantization-Regularization in DNNs for Weight Memory Minimization Toward HW Implementation at reposiTUm , opens an external URL in a new windowWess, M., Dinakarrao, S. M. P., & Jantsch, A. (2018). Weighted Quantization-Regularization in DNNs for Weight Memory Minimization Toward HW Implementation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 37(11), 2929–2939. https://doi.org/10.1109/TCAD.2018.2857080, opens an external URL in a new window
-
| Platform-centric self-awareness as a key enabler for controlling changes in CPS at reposiTUm , opens an external URL in a new windowMöstl, M., Schlatow, J., Ernst, R., Dutt, N., Nassar, A., Rahmani, A., Kurdahi, F. J., Wild, T., Sadighi, A., & Herkersdorf, A. (2018). Platform-centric self-awareness as a key enabler for controlling changes in CPS. Proceedings of the IEEE, 106(9), 1543–1567. https://doi.org/10.1109/jproc.2018.2858023, opens an external URL in a new window
-
| Resource Management for Mixed-Criticality Systems on Multi-core Platforms with Focus on Communication at reposiTUm , opens an external URL in a new windowArbaud, R., Juhasz, D., & Jantsch, A. (2018). Resource Management for Mixed-Criticality Systems on Multi-core Platforms with Focus on Communication. In 2018 21st Euromicro Conference on Digital System Design (DSD). 21st Euromicro Conference on Digital System Design (DSD 2018), Prague, Czech Republic, EU. https://doi.org/10.1109/dsd.2018.00108, opens an external URL in a new window
-
| Enhancing transient fault tolerance in embedded systems through an OS task level redundancy approach at reposiTUm , opens an external URL in a new windowAsghari, S. A., Binesh Marvasti, M., & Rahmani, A. M. (2018). Enhancing transient fault tolerance in embedded systems through an OS task level redundancy approach. Future Generation Computer Systems: The International Journal of EScience, 87, 58–65. https://doi.org/10.1016/j.future.2018.04.049, opens an external URL in a new window
-
| Automatische Lösungs- und Code-Evaluierung at reposiTUm , opens an external URL in a new windowBauer, F., & Mosbeck, M. (2018). Automatische Lösungs- und Code-Evaluierung. Tag der Lehre 2018 TU Wien, Wien, TU Wien, Austria.
-
| Gain scheduled control for nonlinear power management in CMPs at reposiTUm , opens an external URL in a new windowDonyanavard, B., Rahmani, A., Muck, T., Moazzemi, K., & Dutt, N. (2018). Gain scheduled control for nonlinear power management in CMPs. In 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, Austria. IEEE. https://doi.org/10.23919/date.2018.8342141, opens an external URL in a new window
-
| Guest editorial: Special issue on self-aware systems on chip at reposiTUm , opens an external URL in a new windowDutt, N., & Jantsch, A. (2018). Guest editorial: Special issue on self-aware systems on chip. IEEE Design and Test, 35(5), 5–6. https://doi.org/10.1109/mdat.2017.2766604, opens an external URL in a new window
-
| Applicability of Context-Aware Health Monitoring to Hydraulic Circuits at reposiTUm , opens an external URL in a new windowGötzinger, M., Willegger, E., TaheriNejad, N., Jantsch, A., Sauter, T., Glatzl, T., & Liljeberg, P. (2018). Applicability of Context-Aware Health Monitoring to Hydraulic Circuits. In Proceedings of the 44th Annual Conference of the IEEE Industrial Electronics Society The IECON 2018. 44th Annual Conference of the IEEE Industrial Electronics Society (IECON 2018), Cairns, Australia, Non-EU. IEEE.
-
| Robust Machine Learning Systems: Reliability and Security for Deep Neural Networks at reposiTUm , opens an external URL in a new windowHanif, M. A., Khalid, F., Putra, R. V. W., Rehman, S., & Shafique, M. (2018). Robust Machine Learning Systems: Reliability and Security for Deep Neural Networks. In 2018 IEEE 24th International Symposium on On-Line Testing And Robust System Design (IOLTS). 24th IEEE International Symposium on On-Line Testing And Robust System Design (IOLTS’18), Platja D’Aro, Spain, EU. https://doi.org/10.1109/iolts.2018.8474192, opens an external URL in a new window
-
| X-DNNs: Systematic Cross-Layer Approximations for Energy-Efficient Deep Neural Networks at reposiTUm , opens an external URL in a new windowHanif, M. A., Marchisio, A., Arif, T., Hafiz, R., Rehman, S., & Shafique, M. (2018). X-DNNs: Systematic Cross-Layer Approximations for Energy-Efficient Deep Neural Networks. Journal of Low Power Electronics, 14(4), 520–534. https://doi.org/10.1166/jolpe.2018.1575, opens an external URL in a new window
-
| Hierarchical dynamic goal management for IoT systems at reposiTUm , opens an external URL in a new windowJantsch, A., Anzanpour, A., Kholerdi, H., Azimi, I., Siafara, L. C., Rahmani, A. M., TaheriNejad, N., Liljeberg, P., & Dutt, N. (2018). Hierarchical dynamic goal management for IoT systems. In 2018 19th International Symposium on Quality Electronic Design (ISQED). 19th International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA USA, Non-EU. https://doi.org/10.1109/isqed.2018.8357315, opens an external URL in a new window
-
| Acute pain intensity monitoring with the classification of multiple physiological parameters at reposiTUm , opens an external URL in a new windowJiang, M., Mieronkoski, R., Syrjälä, E., Anzanpour, A., Terävä, V., Rahmani, A. M., Salanterä, S., Aantaa, R., Hagelberg, N., & Liljeberg, P. (2018). Acute pain intensity monitoring with the classification of multiple physiological parameters. Journal of Clinical Monitoring and Computing, 33(3), 493–507. https://doi.org/10.1007/s10877-018-0174-8, opens an external URL in a new window
-
| Formal Verification of Cyber-physical Feature Coordination with Minimalist Qualitative Models at reposiTUm , opens an external URL in a new windowKaindl, H., Hoch, R., Rathmair, M., & Luckeneder, C. (2018). Formal Verification of Cyber-physical Feature Coordination with Minimalist Qualitative Models. In E. Damiani, G. Spanoudakis, & L. Maciaszek (Eds.), Communications in Computer and Information Science (pp. 261–287). Springer International Publishing. https://doi.org/10.1007/978-3-030-22559-9_12, opens an external URL in a new window
-
| Approximation for Run-time Power Management at reposiTUm , opens an external URL in a new windowKanduri, A., Haghbayan, M.-H., Rahmani, A. M., & Liljeberg, P. (2018). Approximation for Run-time Power Management. In 2018 IEEE International Symposium on Circuits and Systems (ISCAS). IEEE, Austria. IEEE. https://doi.org/10.1109/iscas.2018.8351841, opens an external URL in a new window
-
| adBoost: Thermal Aware Performance Boosting Through Dark Silicon Patterning at reposiTUm , opens an external URL in a new windowKanduri, A., Haghbayan, M.-H., Rahmani, A. M., Shafique, M., Jantsch, A., & Liljeberg, P. (2018). adBoost: Thermal Aware Performance Boosting Through Dark Silicon Patterning. IEEE Transactions on Computers, 67(8), 1062–1077. https://doi.org/10.1109/tc.2018.2805683, opens an external URL in a new window
-
| Approximation-aware coordinated power/performance management for heterogeneous multi-cores at reposiTUm , opens an external URL in a new windowKanduri, A., Miele, A., Rahmani, A. M., Liljeberg, P., Bolchini, C., & Dutt, N. (2018). Approximation-aware coordinated power/performance management for heterogeneous multi-cores. In Proceedings of the 55th Annual Design Automation Conference. IEEE, Austria. IEEE. https://doi.org/10.1145/3195970.3195994, opens an external URL in a new window
-
| Security for Machine Learning-based Systems: Attacks and Challenges during Training and Inference at reposiTUm , opens an external URL in a new windowKhalid, F., Hanif, M. A., Rehman, S., & Shafique, M. (2018). Security for Machine Learning-based Systems: Attacks and Challenges during Training and Inference. In 16th International Conference on Frontiers of Information Technology (FIT) (pp. 1–6).
-
| Enhancement of Classification of Small Data Sets Using Self-awareness — An Iris Flower Case-Study at reposiTUm , opens an external URL in a new windowKholerdi, H. A., TaheriNejad, N., & Jantsch, A. (2018). Enhancement of Classification of Small Data Sets Using Self-awareness — An Iris Flower Case-Study. In 2018 IEEE International Symposium on Circuits and Systems (ISCAS). IEEE, Austria. IEEE International Symposium on Circuits and Systems (ISCAS). https://doi.org/10.1109/iscas.2018.8350992, opens an external URL in a new window
-
| Using Smart Breakers for Demand Side Management in Smart Grids at reposiTUm , opens an external URL in a new windowKollmann, S., Meisel, M., Wilker, S., & Sauter, T. (2018). Using Smart Breakers for Demand Side Management in Smart Grids. 2018 IEEE 27th International Symposium on Industrial Electronics (ISIE), Cairns, Australia.
-
| Using Smart Breakers for Demand Side Management in Smart Grids at reposiTUm , opens an external URL in a new windowKollmann, S., Meisel, M., Wilker, S., & Sauter, T. (2018). Using Smart Breakers for Demand Side Management in Smart Grids. In 2018 IEEE 27th International Symposium on Industrial Electronics (ISIE). IEEE, Austria. IEEE. https://doi.org/10.1109/isie.2018.8433840, opens an external URL in a new window
-
| Smart Breaker Prototypes and Customer Energy Management System for the Smart Grid at reposiTUm , opens an external URL in a new windowKollmann, S., Meisel, M., Wilker, S., & Wendt, A. (2018). Smart Breaker Prototypes and Customer Energy Management System for the Smart Grid. In GMS - Gesellschaft für Mikroel (Ed.), MESS18 Microelectronic Systems Symposium (p. 38). OVE.
-
| Robustness for Smart Cyber Physical Systems and Internet-of-Things: From Adaptive Robustness Methods to Reliability and Security for Machine Learning at reposiTUm , opens an external URL in a new windowKriebel, F., Rehman, S., Hanif, M. A., Khalid, F., & Shafique, M. (2018). Robustness for Smart Cyber Physical Systems and Internet-of-Things: From Adaptive Robustness Methods to Reliability and Security for Machine Learning. In 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Hong Kong, China, Non-EU. https://doi.org/10.1109/isvlsi.2018.00111, opens an external URL in a new window
-
| PruNet: Class-Blind Pruning Method For Deep Neural Networks at reposiTUm , opens an external URL in a new windowMarchisio, A., Hanif, M. A., Martina, M., & Shafique, M. (2018). PruNet: Class-Blind Pruning Method For Deep Neural Networks. In 2018 International Joint Conference on Neural Networks (IJCNN). IEEE International Joint Conference on Neural Networks (IJCNN), Montréal, Québec, Canada, Austria. https://doi.org/10.1109/ijcnn.2018.8489764, opens an external URL in a new window
-
| HW/SW co-design and co-optimizations for deep learning at reposiTUm , opens an external URL in a new windowMarchisio, A., Putra, R. V. W., Hanif, M. A., & Shafique, M. (2018). HW/SW co-design and co-optimizations for deep learning. In Proceedings of the Workshop on INTelligent Embedded Systems Architectures and Applications. Workshop on INTelligent Embedded Systems Architectures and Applications (INTESA), at the Embedded Systems Week (ESWeek), Turin, Italy, EU. https://doi.org/10.1145/3285017.3285022, opens an external URL in a new window
-
| ETIP-SNET Digitization Cybersecurity and Resilience Cluster Topics at reposiTUm , opens an external URL in a new windowMeisel, M., Wilker, S., & Kollmann, S. (2018). ETIP-SNET Digitization Cybersecurity and Resilience Cluster Topics. In Smart Energy Systems Week Austria 2018 (p. 1).
-
| Trends in On-chip Dynamic Resource Management at reposiTUm , opens an external URL in a new windowMoazzemi, K., Kanduri, A., Juhasz, D., Miele, A., Rahmani, A. M., Liljeberg, P., Jantsch, A., & Dutt, N. (2018). Trends in On-chip Dynamic Resource Management. In 2018 21st Euromicro Conference on Digital System Design (DSD). 21st Euromicro Conference on Digital System Design (DSD 2018), Prague, Czech Republic, EU. https://doi.org/10.1109/dsd.2018.00025, opens an external URL in a new window
-
| VELS: VHDL E-Learning System at reposiTUm , opens an external URL in a new windowMosbeck, M., Hauer, D., & Jantsch, A. (2018). VELS: VHDL E-Learning System. 2018 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of Sy, Tallinn, Estonia.
-
| VELS: VHDL E-Learning System for Automatic Generation and Evaluation of Per-Student Randomized Assignments at reposiTUm , opens an external URL in a new windowMosbeck, M., Hauer, D., & Jantsch, A. (2018). VELS: VHDL E-Learning System for Automatic Generation and Evaluation of Per-Student Randomized Assignments. In 2018 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC). IEEE, Austria. IEEE. https://doi.org/10.1109/norchip.2018.8573455, opens an external URL in a new window
-
| VELS- VHDL E-Learning System for Automatic Generation and Evaluation of Per-Student Customized Tasks for Hardware Modeling Courses at reposiTUm , opens an external URL in a new windowMosbeck, M., Meisel, M., Rathmair, M., & Jantsch, A. (2018). VELS- VHDL E-Learning System for Automatic Generation and Evaluation of Per-Student Customized Tasks for Hardware Modeling Courses. In GMS - Gesellschaft für Mikroel (Ed.), MESS18 Microelectronic Systems Symposium (p. 34). OVE.
-
| Design Methodology for Responsive and Robust MIMO Control of Heterogeneous Multicores at reposiTUm , opens an external URL in a new windowMück, T., Donyanavard, B., Moazzemi, K., Rahmani, A. M., Jantsch, A., & Dutt, N. (2018). Design Methodology for Responsive and Robust MIMO Control of Heterogeneous Multicores. IEEE Transactions on Multi-Scale Computing Systems, 4(4), 944–951. https://doi.org/10.1109/tmscs.2018.2808524, opens an external URL in a new window
-
| Fog Computing Approach for Mobility Support in Internet-of-Things Systems at reposiTUm , opens an external URL in a new windowNguyen Gia, T., Rahmani, A. M., Westerlund, T., Liljeberg, P., & Tenhunen, H. (2018). Fog Computing Approach for Mobility Support in Internet-of-Things Systems. IEEE Access, 6, 36064–36082. https://doi.org/10.1109/access.2018.2848119, opens an external URL in a new window
-
| Energy Efficient Wearable Sensor Node for IoT-based Fall Detection Systems at reposiTUm , opens an external URL in a new windowNguyen Gia, T., Sarker, V. K., Tcarenko, I., Rahmani, A. M., Westerlund, T., Liljeberg, P., & Tenhunen, H. (2018). Energy Efficient Wearable Sensor Node for IoT-based Fall Detection Systems. Microprocessors and Microsystems, 56, 34–46. https://doi.org/10.1016/j.micpro.2017.10.014, opens an external URL in a new window
-
| Energy Efficient Fog-assisted IoT System for Monitoring Diabetic Patients with Cardiovascular Disease at reposiTUm , opens an external URL in a new windowNguyen Gia, T., Dhaou, I. B., Ali, M., Rahmani, A. M., Westerlund, T., Liljeberg, P., & Tenhunen, H. (2018). Energy Efficient Fog-assisted IoT System for Monitoring Diabetic Patients with Cardiovascular Disease. Future Generation Computer Systems: The International Journal of EScience, 93, 198–211. https://doi.org/10.1016/j.future.2018.10.029, opens an external URL in a new window
-
| Machine learning for power, energy, and thermal management on multi-core processors: A survey. at reposiTUm , opens an external URL in a new windowPagani, S., Pudukotai Dinakarrao, S. M., Jantsch, A., & Henkel, J. (2018). Machine learning for power, energy, and thermal management on multi-core processors: A survey. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 39(1), 101–116. https://doi.org/10.1109/tcad.2018.2878168, opens an external URL in a new window
-
| Wireless Communication and Mobile Healthcare at reposiTUm , opens an external URL in a new windowPerego, P., Rahmani, A., & TaheriNejad, N. (Eds.). (2018). Wireless Communication and Mobile Healthcare. Springer.
-
| DeMAS: An efficient design methodology for building approximate adders for FPGA-based systems at reposiTUm , opens an external URL in a new windowPrabakaran, B. S., Rehman, S., Hanif, M. A., Ullah, S., Mazaheri, G., Kumar, A., & Shafique, M. (2018). DeMAS: An efficient design methodology for building approximate adders for FPGA-based systems. In 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE). 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE’18), Dresden, Deutschland, EU. https://doi.org/10.23919/date.2018.8342140, opens an external URL in a new window
-
| ADDHard: Arrhythmia Detection with Digital Hardware by Learning ECG Signal at reposiTUm , opens an external URL in a new windowPudukotai Dinakarrao, S. M., & Jantsch, A. (2018). ADDHard: Arrhythmia Detection with Digital Hardware by Learning ECG Signal. In Proceedings of the 2018 on Great Lakes Symposium on VLSI. ACM, Austria. ACM Digital Library. https://doi.org/10.1145/3194554.3194647, opens an external URL in a new window
-
| LightClockV2 - A Motivation for Teaching Scalable Digital Hardware Design at reposiTUm , opens an external URL in a new windowRathmair, M., Bauer, F., & Meisel, M. (2018). LightClockV2 - A Motivation for Teaching Scalable Digital Hardware Design. In 2018 IEEE 27th International Symposium on Industrial Electronics (ISIE). 2018 IEEE 27th International Symposium on Industrial Electronics (ISIE), Cairns, Australia. IEEE. https://doi.org/10.1109/isie.2018.8433817, opens an external URL in a new window
-
| Semi-symbolic Simulation and Analysis of Deviation Propagation of Feature Coordination in Cyber-physical Systems [Best Paper Award] at reposiTUm , opens an external URL in a new windowRathmair, M., Luckeneder, C., Kaindl, H., & Radojicic, C. (2018). Semi-symbolic Simulation and Analysis of Deviation Propagation of Feature Coordination in Cyber-physical Systems [Best Paper Award]. In 51st Hawaii International Conference on System Sciences (HICSS 2018) (pp. 5655–5664). Curran Associates, Inc.
-
| In-Field Simulation for Process Tuning in Industry 4.0 Applications at reposiTUm , opens an external URL in a new windowRathmair, M., Luckeneder, C., Meisel, M., & Wilker, S. (2018). In-Field Simulation for Process Tuning in Industry 4.0 Applications. In P. Hans, G. Artner, J. Grames, H. Krebs, H. R. Mansouri Khosravi, & T. Rouhi (Eds.), Vienna young Scientists Symposium 2018 (pp. 66–67). Book-of-Abstracts.com.
-
| Hardware and Software Techniques for Heterogeneous Fault-Tolerance at reposiTUm , opens an external URL in a new windowRehman, S., Kriebel, F., Prabakaran, B. S., Khalid, F., & Shafique, M. (2018). Hardware and Software Techniques for Heterogeneous Fault-Tolerance. In 2018 IEEE 24th International Symposium on On-Line Testing And Robust System Design (IOLTS). 2018 IEEE 24th International Symposium on On-Line Testing and Robust System Design (IOLTS), Platja d’Aro, Spain, EU. https://doi.org/10.1109/iolts.2018.8474219, opens an external URL in a new window
-
| Design Methodologies for Enabling Self-awareness in Autonomous Systems at reposiTUm , opens an external URL in a new windowSadighi, A., Donyanavard, B., Kadeed, T., Moazzemi, K., Mück, T., Nassar, A., Rahmani, A., Wild, T., Herkersdorf, A., & Kurdahi, F. (2018). Design Methodologies for Enabling Self-awareness in Autonomous Systems. In IEEE/ACM Design, Automation and Test in Europe Conference (pp. 1–6). IEEE.
-
| Intelligent Security Measures for Smart Cyber Physical Systems at reposiTUm , opens an external URL in a new windowShafique, M., Khalid, F., & Rehman, S. (2018). Intelligent Security Measures for Smart Cyber Physical Systems. In 2018 21st Euromicro Conference on Digital System Design (DSD). 2018 21st Euromicro Conference on Digital System Design (DSD), Prague, Czech Republic, EU. https://doi.org/10.1109/dsd.2018.00058, opens an external URL in a new window
-
| An overview of next-generation architectures for machine learning: Roadmap, opportunities and challenges in the IoT era at reposiTUm , opens an external URL in a new windowShafique, M., Theocharides, T., Bouganis, C.-S., Hanif, M. A., Khalid, F., Hafiz, R., & Rehman, S. (2018). An overview of next-generation architectures for machine learning: Roadmap, opportunities and challenges in the IoT era. In 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE). 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE’18), Dresden, Deutschland, EU. https://doi.org/10.23919/date.2018.8342120, opens an external URL in a new window
-
| On the Feasibility of SISO Control-Theoretic DVFS for Power Capping in CMPs at reposiTUm , opens an external URL in a new windowShahosseini, S., Moazzemi, K., Rahmani, A. M., & Dutt, N. (2018). On the Feasibility of SISO Control-Theoretic DVFS for Power Capping in CMPs. Microprocessors and Microsystems, 63, 249–258. https://doi.org/10.1016/j.micpro.2018.09.012, opens an external URL in a new window
-
| iniGrid - Integration of Innovative Distributed Sensors and Actuators in Smart Grids at reposiTUm , opens an external URL in a new windowStefan, M., Kupzog, F., Jung, O., Bartonek, M., Hauer, W., Askan, K., Prüggler, N., Prüggler, W., Kollmann, S., Wilker, S., Meisel, M., Wendt, A., Fotiadis, L., Kienesberger, G., Böhm, J., Zeller, P., Moreno, A., Hamad, K., & Hutterer, S. (2018). iniGrid - Integration of Innovative Distributed Sensors and Actuators in Smart Grids (No. 845018).
-
| Area-Optimized Low-Latency Approximate Multipliers for FPGA-based Hardware Accelerators at reposiTUm , opens an external URL in a new windowUllah, S., Rehman, S., Prabakaran, B. S., Kriebel, F., Hanif, M. A., Shafique, M., & Kumar, A. (2018). Area-Optimized Low-Latency Approximate Multipliers for FPGA-based Hardware Accelerators. In 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC). 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), San Fransisco, USA, Non-EU. https://doi.org/10.1109/dac.2018.8465781, opens an external URL in a new window
-
| Efficient Design-for-Test Approach for Networks-on-Chip at reposiTUm , opens an external URL in a new windowWang, J., Ebrahimi, M., Huang, L., Xie, X., Li, Q., Li, G., & Jantsch, A. (2018). Efficient Design-for-Test Approach for Networks-on-Chip. IEEE Transactions on Computers, 1–1. https://doi.org/10.1109/tc.2018.2865948, opens an external URL in a new window
-
| Usage of Cognitive Architectures in the Development of Industrial Applications at reposiTUm , opens an external URL in a new windowWendt, A., Kollmann, S., Siafara, L., & Biletsky, Y. (2018). Usage of Cognitive Architectures in the Development of Industrial Applications. In Proceedings of 10th International Conference on Agents and Artificial Intelligence (pp. 94–101). SCITEPRESS.
-
| A Multi-Agent-Based Middleware for the Development of Complex Architectures at reposiTUm , opens an external URL in a new windowWendt, A., Wilker, S., Meisel, M., & Sauter, T. (2018). A Multi-Agent-Based Middleware for the Development of Complex Architectures. IEEE International Symposium on Industrial Electronics ISIE, Gdansk, Poland, EU.
-
| A Multi-Agent-Based Middleware for the Development of Complex Architectures at reposiTUm , opens an external URL in a new windowWendt, A., Wilker, S., Meisel, M., & Sauter, T. (2018). A Multi-Agent-Based Middleware for the Development of Complex Architectures. In 2018 IEEE 27th International Symposium on Industrial Electronics (ISIE) (pp. 723–728). IEEE.
-
| Weighted Quantization-Regularization in DNNs for Weight Memory Minimization towards HW Implementation at reposiTUm , opens an external URL in a new windowWess, M., Dinakarrao, S. M. P., & Jantsch, A. (2018). Weighted Quantization-Regularization in DNNs for Weight Memory Minimization towards HW Implementation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 37(11), 2929–2939. https://doi.org/10.1109/tcad.2018.2857080, opens an external URL in a new window
-
| eNDUSTRIE 4.0 — A future-technologies qualification network for local businesses at reposiTUm , opens an external URL in a new windowWilker, S., Meisel, M., Rathmair, M., Sauter, T., Treytl, A., Schidler, S., Leonhartsberger, K., & Frantes, B. (2018). eNDUSTRIE 4.0 — A future-technologies qualification network for local businesses. In 2018 IEEE Industrial Cyber-Physical Systems (ICPS). 2018 IEEE Industrial Cyber-Physical Systems (ICPS), Saint Petersburg, Russian Federation (the). IEEE. https://doi.org/10.1109/icphys.2018.8390755, opens an external URL in a new window
-
| A Cognitive System Architecture for Building Energy Management at reposiTUm , opens an external URL in a new windowZucker, G., Sporr, A., Kollmann, S., Wendt, A., Siafara Chaido, L., & Fernbach, A. (2018). A Cognitive System Architecture for Building Energy Management. IEEE Transactions on Industrial Informatics, 14(6), 2521–2529. https://doi.org/10.1109/tii.2018.2815739, opens an external URL in a new window
-
| Q-Learning-Based Voltage-Swing Tuning and Compensation for 2.5-D Memory-Logic Integration at reposiTUm , opens an external URL in a new windowXu, D., Ningmei, Y., Huang, H., Pudukotai Dinakarrao, S. M., & Yu, H. (2017). Q-Learning-Based Voltage-Swing Tuning and Compensation for 2.5-D Memory-Logic Integration. IEEE Design and Test, 35(2), 91–99. https://doi.org/10.1109/mdat.2017.2764075, opens an external URL in a new window
-
| A Scalable Network-on-Chip Microprocessor With 2.5D Integrated Memory and Accelerator at reposiTUm , opens an external URL in a new windowPudukotai Dinakarrao, S. M., Jie, L., Zhu, S., Yin, Y., Liu, X., Huang, X., Song, C., Zhang, W., Yan, M., Yu, Z., & Yu, H. (2017). A Scalable Network-on-Chip Microprocessor With 2.5D Integrated Memory and Accelerator. IEEE Transactions on Circuits and Systems I: Regular Papers, 64(6), 1432–1443. https://doi.org/10.1109/tcsi.2016.2647322, opens an external URL in a new window
-
| The Internet of Things for Basic Nursing Care - A Scoping Review at reposiTUm , opens an external URL in a new windowMieronkoski, R., Azimi, I., Rahmani, A. M., Aantaa, R., Terävä, V., Liljeberg, P., & Salanterä, S. (2017). The Internet of Things for Basic Nursing Care - A Scoping Review. International Journal of Nursing Studies, 69, 78–90. https://doi.org/10.1016/j.ijnurstu.2017.01.009, opens an external URL in a new window
-
| Reliability-Aware Runtime Power Management for Many-Core Systems in the Dark Silicon Era at reposiTUm , opens an external URL in a new windowRahmani, A., Haghbayan, M.-H., Miele, A., Liljeberg, P., Jantsch, A., & Tenhunen, H. (2017). Reliability-Aware Runtime Power Management for Many-Core Systems in the Dark Silicon Era. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(2), 427–440. https://doi.org/10.1109/tvlsi.2016.2591798, opens an external URL in a new window
-
| Self-awareness in remote health monitoring systems using wearable electronics at reposiTUm , opens an external URL in a new windowAnzanpour, A., Azimi, I., Götzinger, M., Rahmani, A., TaheriNejad, N., Liljeberg, P., Jantsch, A., & Dutt, N. (2017). Self-awareness in remote health monitoring systems using wearable electronics. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017. Internation Conference of Design, Automation and Test in Europe. (DATE17), Lausanne, Switzerland, EU. IEEE. https://doi.org/10.23919/date.2017.7927146, opens an external URL in a new window
-
| HiCH: Hierarchical Fog-assisted Computing Architecture for Healthcare IoT at reposiTUm , opens an external URL in a new windowAzimi, I., Anzanpour, A., Rahmani, A., Pahikkala, T., Levorato, M., Liljeberg, P., & Dutt, N. (2017). HiCH: Hierarchical Fog-assisted Computing Architecture for Healthcare IoT. ACM Transactions on Embedded Computing Systems, 16(5s), 1–20. https://doi.org/10.1145/3126501, opens an external URL in a new window
-
| How Agents Use Breadcrumbs to Find Their Way at reposiTUm , opens an external URL in a new windowBrandstaetter, C., Schaat, S., Wendt, A., & Fittner, M. (2017). How Agents Use Breadcrumbs to Find Their Way. Journal of Computers, 89–96. https://doi.org/10.17706/jcp.12.1.89-96, opens an external URL in a new window
-
| Empowering autonomy through self-awareness in MPSoCs at reposiTUm , opens an external URL in a new windowDutt, N., Rahmani, A. M., & Jantsch, A. (2017). Empowering autonomy through self-awareness in MPSoCs. In 2017 15th IEEE International New Circuits and Systems Conference (NEWCAS). IEEE NEWCAS Conference, Strassbourg, EU. IEEE. https://doi.org/10.1109/newcas.2017.8010108, opens an external URL in a new window
-
| Internet-of-Things and Big Data for Smarter Healthcare: From Device to Architecture, Applications and Analytics at reposiTUm , opens an external URL in a new windowFirouzi, F., Rahmani, A. M., Mankodiya, K., Badaroglu, M., Merrett, G. V., Wong, P., & Farahani, B. (2017). Internet-of-Things and Big Data for Smarter Healthcare: From Device to Architecture, Applications and Analytics. Future Generation Computer Systems: The International Journal of EScience, 78, 583–586. https://doi.org/10.1016/j.future.2017.09.016, opens an external URL in a new window
-
| Low-cost fog-assisted health-care IoT system with energy-efficient sensor nodes at reposiTUm , opens an external URL in a new windowGia, T. N., Jiang, M., Sarker, V. K., Rahmani, A., Westerlund, T., & Tenhunen, H. (2017). Low-cost fog-assisted health-care IoT system with energy-efficient sensor nodes. In 2017 13th International Wireless Communications and Mobile Computing Conference (IWCMC). IEEE - Institute of Electrical and Electronics Engineers, Inc. https://doi.org/10.1109/iwcmc.2017.7986551, opens an external URL in a new window
-
| IoT-based continuous glucose monitoring system: A feasibility study at reposiTUm , opens an external URL in a new windowGia, T. N., Mai, A., Dhaou, I. B., Rahmani, A., Westerlund, T., Liljeberg, P., & Tenhunen, H. (2017). IoT-based continuous glucose monitoring system: A feasibility study. In Procedia Computer Science (pp. 327–334). Academic Press / Elsevier. https://doi.org/10.1016/j.procs.2017.05.359, opens an external URL in a new window
-
| Enhancing the Self-Aware Early Warning Score System through Fuzzified Data Reliability Assessment at reposiTUm , opens an external URL in a new windowGötzinger, M., Anzanpour, A., Azimi, I., TaheriNejad, N., & Rahmani, A. (2017). Enhancing the Self-Aware Early Warning Score System through Fuzzified Data Reliability Assessment. In 7th EAI International Conference on Wireless Mobile Communication and Healthcare (p. 8).
-
| Parallelized Flight Path Prediction Using a Graphics Processing Unit at reposiTUm , opens an external URL in a new windowGötzinger, M., Pongratz, M., Rahmani, A., & Jantsch, A. (2017). Parallelized Flight Path Prediction Using a Graphics Processing Unit. In Proceedings of the 12th International Joint Conference on Computer Vision, Imaging and Computer Graphics Theory and Applications. (VISAPP17) (p. 8).
-
| On the Design of Context-Aware Health Monitoring without a Priori Knowledge; an AC-Motor Case-Study at reposiTUm , opens an external URL in a new windowGötzinger, M., TaheriNejad, N., Kholerdi, H., & Jantsch, A. (2017). On the Design of Context-Aware Health Monitoring without a Priori Knowledge; an AC-Motor Case-Study. In The 30th Annual IEEE Canadian Conference on Electrical and Computer Engineering (CCECE) (p. 5).
-
| Performance/Reliability-aware Resource Management for Many-Cores in Dark Silicon Era at reposiTUm , opens an external URL in a new windowHaghbayan, M.-H., Miele, A., Rahmani, A. M., Liljeberg, P., & Tenhunen, H. (2017). Performance/Reliability-aware Resource Management for Many-Cores in Dark Silicon Era. IEEE Transactions on Computers, 66(9), 1599–1612. https://doi.org/10.1109/tc.2017.2691009, opens an external URL in a new window
-
| Can Dark Silicon Be Exploited to Prolong System Lifetime? at reposiTUm , opens an external URL in a new windowHaghbayan, M.-H., Rahmani, A.-M., Liljeberg, P., Jantsch, A., Miele, A., Bolchini, C., & Tenhunen, H. (2017). Can Dark Silicon Be Exploited to Prolong System Lifetime? IEEE Design and Test, 34(2), 51–59. https://doi.org/10.1109/mdat.2016.2630317, opens an external URL in a new window
-
| Low-voltage grid automation - Lessons learned during field tests Großschönau, Austria - Impulses from project iniGrid at reposiTUm , opens an external URL in a new windowHauer, W., Kollmann, S., & Wilker, S. (2017). Low-voltage grid automation - Lessons learned during field tests Großschönau, Austria - Impulses from project iniGrid. In Tagungsband ComForEn 2017 (p. 10).
-
| Special Issue on Self-Aware Systems on Chip at reposiTUm , opens an external URL in a new windowJantsch, A., & Dutt, N. (2017). Special Issue on Self-Aware Systems on Chip. IEEE Design and Test, 34(6), 6–7. https://doi.org/10.1109/mdat.2017.2757445, opens an external URL in a new window
-
| Self-awareness in systems on chip at reposiTUm , opens an external URL in a new windowJantsch, A., Dutt, N., & Rahmani, A. M. (2017). Self-awareness in systems on chip. IEEE Design and Test, 34(6), 8–26. https://doi.org/10.1109/mdat.2017.2757143, opens an external URL in a new window
-
| Ultra-short-term analysis of heart rate variability for real-time acute pain monitoring with wearable electronics at reposiTUm , opens an external URL in a new windowJiang, M., Mieronkoski, R., Rahmani, A. M., Hagelberg, N., Salantera, S., & Liljeberg, P. (2017). Ultra-short-term analysis of heart rate variability for real-time acute pain monitoring with wearable electronics. In 2017 IEEE International Conference on Bioinformatics and Biomedicine (BIBM). 2017 IEEE International Conference on Bioinformatics and Biomedicine (BIBM), Kansas, Non-EU. IEEE. https://doi.org/10.1109/bibm.2017.8217798, opens an external URL in a new window
-
| Accuracy aware power management for many-core systems running error resilient applications at reposiTUm , opens an external URL in a new windowKanduri, A., Haghbayan, M.-H., Rahmani, A. M., Liljeberg, P., Jantsch, A., Tenhunen, H., & Dutt, N. (2017). Accuracy aware power management for many-core systems running error resilient applications. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(10), 2749–2762. https://doi.org/10.1109/tvlsi.2017.2694388, opens an external URL in a new window
-
| Poster: Local Intelligence for a Customer Energy Management System equipped with Smart Breakers at reposiTUm , opens an external URL in a new windowKollmann, S., Wilker, S., Meisel, M., Wendt, A., Fotiadis, L., & Sauter, T. (2017). Poster: Local Intelligence for a Customer Energy Management System equipped with Smart Breakers. 13th IEEE International Workshop on Factory Communication Systems (WFCS) 2017, Trondheim, Norway, Non-EU.
-
| Local intelligence for a customer energy management system equipped with smart breakers at reposiTUm , opens an external URL in a new windowKollmann, S., Wilker, S., Meisel, M., Wendt, A., Fotiadis, L., & Sauter, T. (2017). Local intelligence for a customer energy management system equipped with smart breakers. In 2017 IEEE 13th International Workshop on Factory Communication Systems (WFCS). 13th IEEE International Workshop on Factory Communication Systems (WFCS) 2017, Trondheim, Norway, Non-EU. https://doi.org/10.1109/wfcs.2017.7991974, opens an external URL in a new window
-
| Toggle MUX at reposiTUm , opens an external URL in a new windowKrieg, C., Wolf, C., Jantsch, A., & Zseby, T. (2017). Toggle MUX. In Proceedings of the 54th Annual Design Automation Conference 2017. Design Automation Conference (DAC), Austin, Non-EU. ACM. https://doi.org/10.1145/3061639.3062328, opens an external URL in a new window
-
| Investigating and Coordinating Safety-critical Feature Interactions in Automotive Systems Using Simulation at reposiTUm , opens an external URL in a new windowLuckeneder, C., Rathmair, M., & Kaindl, H. (2017). Investigating and Coordinating Safety-critical Feature Interactions in Automotive Systems Using Simulation. In Proceedings of the 50th Hawaii International Conference on System Sciences 2017 (pp. 6151–6160).
-
| Autonomous Patient/Home Health Monitoring Powered by Energy Harvesting at reposiTUm , opens an external URL in a new windowMai, A., Gia, T. N., Taha, A.-E., Rahmani, A., Westerlund, T., Liljeberg, P., & Tenhunen, H. (2017). Autonomous Patient/Home Health Monitoring Powered by Energy Harvesting. In Ieee Globecom (globecom´17) (pp. 1–8). IEEE.
-
| iniGrid - Demonstration of new sensor and actuator equipment for distributed grids at reposiTUm , opens an external URL in a new windowMeisel, M., Kollmann, S., Wilker, S., Wendt, A., Fotiadis, L., Bauer, F., & Kienesberger, G. (2017). iniGrid - Demonstration of new sensor and actuator equipment for distributed grids. 6th D-A-CH+ Energieinformatik 2017, Lugano, Schweiz, Non-EU.
-
| Demonstrating Smart Grid Component Prototypes Smart Breaker and Customer Energy Management System at reposiTUm , opens an external URL in a new windowMeisel, M., Wilker, S., Kollmann, S., Wendt, A., & Fotiadis, L. (2017). Demonstrating Smart Grid Component Prototypes Smart Breaker and Customer Energy Management System. In Networking Friday - Founder & Investor Talk Series 2017. i2c Networking Friday 2017, Wien, Austria. Eigenverlag innovation incubation center i2c TU Wien.
-
| QuARK: Quality-configurable approximate STT-MRAM cache by fine-grained tuning of reliability-energy knobs at reposiTUm , opens an external URL in a new windowMonazzah, A. M. H., Shoushtari, M., Miremadi, S. G., Rahmani, A. M., & Dutt, N. (2017). QuARK: Quality-configurable approximate STT-MRAM cache by fine-grained tuning of reliability-energy knobs. In 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED). IEEE - Institute of Electrical and Electronics Engineers, Inc. https://doi.org/10.1109/islped.2017.8009198, opens an external URL in a new window
-
| DoS-IL: A Domain Specific Internet of Things Language for Resource Constrained Devices at reposiTUm , opens an external URL in a new windowNegash, B., Westerlund, T., Rahmani, A. M., Liljeberg, P., & Tenhunen, H. (2017). DoS-IL: A Domain Specific Internet of Things Language for Resource Constrained Devices. In Procedia Computer Science (pp. 416–423). Academic Press / Elsevier. https://doi.org/10.1016/j.procs.2017.05.411, opens an external URL in a new window
-
| A simple algorithm for emotion recognition, using physiological signals of a smart watch at reposiTUm , opens an external URL in a new windowPollreisz, D., & TaheriNejad, N. (2017). A simple algorithm for emotion recognition, using physiological signals of a smart watch. In 2017 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC). Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Jeju, South Korea, Non-EU. https://doi.org/10.1109/embc.2017.8037328, opens an external URL in a new window
-
| Towards verification of uncertain cyber-physical systems at reposiTUm , opens an external URL in a new windowRadojicic, C., Grimm, C., Jantsch, A., & Rathmair, M. (2017). Towards verification of uncertain cyber-physical systems. In Electronic Proceedings in Theoretical Computer Science. Electronic Proceedings in Theoretical Computer Science 2017, Uppsala, Sweden. Open Publishing Association. https://doi.org/10.4204/eptcs.247, opens an external URL in a new window
-
| Exploiting Smart E-Health Gateways at the Edge of Healthcare Internet-of-Things: A Fog Computing Approach at reposiTUm , opens an external URL in a new windowRahmani, A. M., Gia, T. N., Negash, B., Anzanpour, A., Azimi, I., Jiang, M., & Liljeberg, P. (2017). Exploiting Smart E-Health Gateways at the Edge of Healthcare Internet-of-Things: A Fog Computing Approach. Future Generation Computer Systems: The International Journal of EScience, 78, 641–658. https://doi.org/10.1016/j.future.2017.02.014, opens an external URL in a new window
-
| HDGM: Hierarchical dynamic goal management for many-core resource allocation at reposiTUm , opens an external URL in a new windowRahmani, A. M., Jantsch, A., & Dutt, N. (2017). HDGM: Hierarchical dynamic goal management for many-core resource allocation. IEEE Embedded Systems Letters, 10(3), 61–64. https://doi.org/10.1109/les.2017.2751522, opens an external URL in a new window
-
| Special issue on energy efficient multi-core and many-core systems, Part II at reposiTUm , opens an external URL in a new windowRahmani, A. M., Liljeberg, P., Ayala, J. L., Tenhunen, H., & Veidenbaum, A. V. (2017). Special issue on energy efficient multi-core and many-core systems, Part II. Journal of Parallel and Distributed Computing, 100, 128–129. https://doi.org/10.1016/j.jpdc.2016.10.009, opens an external URL in a new window
-
| embedded systems design for industry 4.0 at reposiTUm , opens an external URL in a new windowRathmair, M., Mosbeck, M., Meisel, M., & Wilker, S. (2017). embedded systems design for industry 4.0. eNDUSTRIE 4.0 Hackathon, Sonnenwelt Großschönau, Austria.
-
| An improved algorithm for IMPLY logic based memristive Full-adder at reposiTUm , opens an external URL in a new windowRohani, S. G., & TaheriNejad, N. (2017). An improved algorithm for IMPLY logic based memristive Full-adder. In 2017 IEEE 30th Canadian Conference on Electrical and Computer Engineering (CCECE). IEEE Canadian Conference on Electrical and Computer Engineering (CCECE), Saskatoon, SK, Canada, Non-EU. 2017 IEEE 30th Canadian Conference on Electrical and Computer Engineering (CCECE). https://doi.org/10.1109/ccece.2017.7946813, opens an external URL in a new window
-
| Forsyde: System design using a functional language and models of computation at reposiTUm , opens an external URL in a new windowSander, I., Jantsch, A., & Attarzadeh-Niaki, S.-H. (2017). Forsyde: System design using a functional language and models of computation. In Handbook of Hardware/Software Codesign (pp. 99–140). Springer.
-
| Portable multipurpose bio-signal acquisition and wireless streaming device for wearables at reposiTUm , opens an external URL in a new windowSarker, V. K., Jiang, M., Gia, T. N., Anzanpour, A., Rahmani, A. M., & Liljeberg, P. (2017). Portable multipurpose bio-signal acquisition and wireless streaming device for wearables. In 2017 IEEE Sensors Applications Symposium (SAS). IEEE - Institute of Electrical and Electronics Engineers, Inc. https://doi.org/10.1109/sas.2017.7894053, opens an external URL in a new window
-
| Dependability evaluation of SISO control-theoretic power managers for processor architectures at reposiTUm , opens an external URL in a new windowShahosseini, S., Moazzemi, K., Rahmani, A. M., & Dutt, N. (2017). Dependability evaluation of SISO control-theoretic power managers for processor architectures. In 2017 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC). IEEE. https://doi.org/10.1109/norchip.2017.8124983, opens an external URL in a new window
-
| Quality-configurable memory hierarchy through approximation at reposiTUm , opens an external URL in a new windowShoushtari, M., Rahmani, A. M., & Dutt, N. (2017). Quality-configurable memory hierarchy through approximation. In Proceedings of the 2017 International Conference on Compilers, Architectures and Synthesis for Embedded Systems Companion. IEEE - Institute of Electrical and Electronics Engineers, Inc. https://doi.org/10.1145/3125501.3125525, opens an external URL in a new window
-
| SAMBA: A self-aware health monitoring architecture for distributed industrial systems at reposiTUm , opens an external URL in a new windowSiafara, L. C., Kholerdi, H. A., Bratukhin, A., TaheriNejad, N., Wendt, A., Jantsch, A., Treytl, A., & Sauter, T. (2017). SAMBA: A self-aware health monitoring architecture for distributed industrial systems. In IECON 2017 - 43rd Annual Conference of the IEEE Industrial Electronics Society. Annual Conference of the IEEE Industrial Electronics Society (IECON), Paris, France, EU. IEEE. https://doi.org/10.1109/iecon.2017.8216594, opens an external URL in a new window
-
| Automated Generation and Optimization of Control Strategies for Increased Energy Efficiency in Buildings at reposiTUm , opens an external URL in a new windowSiafara, L., Wendt, A., Kollmann, S., Fernbach, A., Preyser, F. J., & Kastner, W. (2017). Automated Generation and Optimization of Control Strategies for Increased Energy Efficiency in Buildings. Smart Energy Systems Week Austria 2017, Graz, Austria.
-
| Self-aware sensing and attention-based data collection in Multi-Processor System-on-Chips at reposiTUm , opens an external URL in a new windowTaheriNejad, N., Shami, M. A., & Pudukotai Dinakarrao, S. M. (2017). Self-aware sensing and attention-based data collection in Multi-Processor System-on-Chips. In 2017 15th IEEE International New Circuits and Systems Conference (NEWCAS). IEEE International New Circuits and Systems Conference (NEWCAS), Strasbourg, France, EU. 2017 15th IEEE International New Circuits and Systems Conference (NEWCAS). https://doi.org/10.1109/newcas.2017.8010110, opens an external URL in a new window
-
| An Adaptive Impedance Matching System for Vehicular Power Line Communication at reposiTUm , opens an external URL in a new windowTaherinejad, N., Lampe, L., & Mirabbasi, S. (2017). An Adaptive Impedance Matching System for Vehicular Power Line Communication. IEEE Transactions on Vehicular Technology, 66(2), 927–940. https://doi.org/10.1109/tvt.2016.2562629, opens an external URL in a new window
-
| Minimizing the system impact of router faults by means of reconfiguration and adaptive routing at reposiTUm , opens an external URL in a new windowWang, J., Ebrahimi, M., Huang, L., Li, Q., Li, G., & Jantsch, A. (2017). Minimizing the system impact of router faults by means of reconfiguration and adaptive routing. Microprocessors and Microsystems, 51, 252–263. https://doi.org/10.1016/j.micpro.2017.02.004, opens an external URL in a new window
-
| Inigrid - Local Intelligence For Active Customer Energy Managment Systems at reposiTUm , opens an external URL in a new windowWendt, A., Kollmann, S., Meisel, M., Wilker, S., & Stefan, M. (2017). Inigrid - Local Intelligence For Active Customer Energy Managment Systems. Smart Energy Systems Week Austria 2017, Graz, Austria.
-
| Neural network based ECG anomaly detection on FPGA and trade-off analysis at reposiTUm , opens an external URL in a new windowWess, M., Pudukotai Dinakarrao, S. M., & Jantsch, A. (2017). Neural network based ECG anomaly detection on FPGA and trade-off analysis. In 2017 IEEE International Symposium on Circuits and Systems (ISCAS). IEEE International Symposium on Circuits and Systems 2017, Baltimore, Non-EU. https://doi.org/10.1109/iscas.2017.8050805, opens an external URL in a new window
-
| IoT-based Remote Pain Monitoring System: from Device to Cloud Platform at reposiTUm , opens an external URL in a new windowYang, G., Jiang, M., Wei, O., Ji, G., Xie, H., Rahmani, A., Liljeberg, P., & Tenhunen, H. (2017). IoT-based Remote Pain Monitoring System: from Device to Cloud Platform. IEEE Journal of Biomedical and Health Informatics, 22(6), 1711–1719. https://doi.org/10.1109/jbhi.2017.2776351, opens an external URL in a new window
-
| Autonomous control strategy creation for building energy management at reposiTUm , opens an external URL in a new windowZucker, G., & Kollmann, S. (2017). Autonomous control strategy creation for building energy management. In 2017 IEEE AFRICON. IEEE Africon 2017, Cape Town, Non-EU. https://doi.org/10.1109/afrcon.2017.8095526, opens an external URL in a new window
-
| A Zonotoped Macromodeling for Eye-Diagram Verification of High-Speed I/O Links With Jitter and Parameter Variations at reposiTUm , opens an external URL in a new windowNi, L., Pudukotai Dinakarrao, S. M., Song, Y., Gu, C., & Yu, H. (2016). A Zonotoped Macromodeling for Eye-Diagram Verification of High-Speed I/O Links With Jitter and Parameter Variations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35(6), 1040–1051. https://doi.org/10.1109/tcad.2015.2481873, opens an external URL in a new window
-
| Toward Smart Embedded Systems: A Self-aware System-on-Chip (SoC) Perspective at reposiTUm , opens an external URL in a new windowDutt, N., Jantsch, A., & Sarma, S. (2016). Toward Smart Embedded Systems: A Self-aware System-on-Chip (SoC) Perspective. ACM Transactions on Embedded Computing Systems, 15(2), 1–27. https://doi.org/10.1145/2872936, opens an external URL in a new window
-
| Self-Awareness in Cyber-Physical Systems at reposiTUm , opens an external URL in a new windowDutt, N., & TaheriNejad, N. (2016). Self-Awareness in Cyber-Physical Systems. VLSI Design Conference, Hyderabad, India, Non-EU.
-
| The Role of Self-Awareness and Hierarchical Agents in Resource Management for Many-Core Systems at reposiTUm , opens an external URL in a new windowGötzinger, M., Rahmani, A.-M., Pongratz, M., Liljeberg, P., Jantsch, A., & Tenhunen, H. (2016). The Role of Self-Awareness and Hierarchical Agents in Resource Management for Many-Core Systems. In Proceedings of the IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (IEEE MCSoC 2016) (p. 8). IEEE.
-
| Enhancing the Early Warning Score System Using Data Confidence at reposiTUm , opens an external URL in a new windowGötzinger, M., TaheriNejad, N., Rahmani, A., Liljeberg, P., Jantsch, A., & Tenhunen, H. (2016). Enhancing the Early Warning Score System Using Data Confidence. In 6th EAI International Conference on Wireless Mobile Communication and Healthcare (p. 8).
-
| Verification of Business Processes Against Business Rules Using Object Life Cycles at reposiTUm , opens an external URL in a new windowHoch, R., Rathmair, M., Kaindl, H., & Popp, R. (2016). Verification of Business Processes Against Business Rules Using Object Life Cycles. In New Advances in Information Systems and Technologies (pp. 589–598). Springer. https://doi.org/10.1007/978-3-319-31232-3_55, opens an external URL in a new window
-
| Non-blocking Testing for Network-on-Chip at reposiTUm , opens an external URL in a new windowHuang, L., Wang, J., Ebrahimi, M., Daneshtalab, M., Zhang, X., Li, G., & Jantsch, A. (2016). Non-blocking Testing for Network-on-Chip. IEEE Transactions on Computers, 65(3), 679–692. https://doi.org/10.1109/tc.2015.2489216, opens an external URL in a new window
-
| Weighted Round Robin Configuration for Worst-Case Delay Optimization in {N}etwork-on-{C}hip at reposiTUm , opens an external URL in a new windowJafari, F., Jantsch, A., & Lu, Z. (2016). Weighted Round Robin Configuration for Worst-Case Delay Optimization in {N}etwork-on-{C}hip. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(12), 3387–3400. https://doi.org/10.1109/tvlsi.2016.2556007, opens an external URL in a new window
-
| Approximation knob at reposiTUm , opens an external URL in a new windowKanduri, A., Haghbayan, M.-H., Rahmani, A. M., Liljeberg, P., Jantsch, A., Dutt, N., & Tenhunen, H. (2016). Approximation knob. In Proceedings of the 35th International Conference on Computer-Aided Design. ACM, Austria. ACM. https://doi.org/10.1145/2966986.2967002, opens an external URL in a new window
-
| Dark Silicon Patterning: Efficient Power Utilization through Run-time Mapping at reposiTUm , opens an external URL in a new windowKanduri, A., Haghbayan, M.-H., Rahmani, A.-M., Liljeberg, P., Jantsch, A., & Tenhunen, H. (2016). Dark Silicon Patterning: Efficient Power Utilization through Run-time Mapping. In A.-M. Rahmani, P. Liljeberg, A. Hemani, A. Jantsch, & H. Tenhunen (Eds.), The Dark Side of Silicon. Springer.
-
| Dark Silicon - Challenges and Opportunities at reposiTUm , opens an external URL in a new windowKanduri, A., Rahmani, A.-M., Liljeberg, P., Hemani, A., Jantsch, A., & Tenhunen, H. (2016). Dark Silicon - Challenges and Opportunities. In A.-M. Rahmani, P. Liljeberg, A. Hemani, A. Jantsch, & H. Tenhunen (Eds.), The Dark Side of Silicon. Springer.
-
| Driver's Drowsiness Detection Using an Enhanced Image Processing Technique Inspired by the Human Visual System at reposiTUm , opens an external URL in a new windowKholerdi, H. A., TaheriNejad, N., Ghaderi, R., & Baleghi, Y. (2016). Driver’s Drowsiness Detection Using an Enhanced Image Processing Technique Inspired by the Human Visual System. Connection Science, 28(1), 27–46. https://doi.org/10.1080/09540091.2015.1130019, opens an external URL in a new window
-
| Towards a Cognitive Multi-agent System for Building Control at reposiTUm , opens an external URL in a new windowKollmann, S., Siafara, L. C., Schaat, S., & Wendt, A. (2016). Towards a Cognitive Multi-agent System for Building Control. In Procedia Computer Science (pp. 191–197). Elsevier. https://doi.org/10.1016/j.procs.2016.07.424, opens an external URL in a new window
-
| SHAPE-HT -- Secure Hardware by Automatic Property Extraction to Detect Design-Level Hardware Trojans at reposiTUm , opens an external URL in a new windowKrieg, C. (2016). SHAPE-HT -- Secure Hardware by Automatic Property Extraction to Detect Design-Level Hardware Trojans. MESS16 - Microelectronic Systems Symposium, Wien, Austria.
-
| Malicious LUT at reposiTUm , opens an external URL in a new windowKrieg, C., Wolf, C., & Jantsch, A. (2016). Malicious LUT. In Proceedings of the 35th International Conference on Computer-Aided Design. 35th International Conference on Computer-Aided Design, Austin, Texas, USA, Non-EU. Proceedings of the 35th International Conference on Computer-Aided Design. https://doi.org/10.1145/2966986.2967054, opens an external URL in a new window
-
| ICT emulation platform setup demonstration of smart grid component prototype examples at reposiTUm , opens an external URL in a new windowMeisel, M., Wilker, S., Wess, M., Wendt, A., Sauter, T., & Kienesberger, G. (2016). ICT emulation platform setup demonstration of smart grid component prototype examples. In 2016 IEEE 21st International Conference on Emerging Technologies and Factory Automation (ETFA). IEEE, Austria. IEEE. https://doi.org/10.1109/etfa.2016.7733697, opens an external URL in a new window
-
| Ergebnisquerschnitt Durch Ausgewählte Smart Grids Projekte at reposiTUm , opens an external URL in a new windowMeisel, M., Xypolytou, E., & Wendt, A. (2016). Ergebnisquerschnitt Durch Ausgewählte Smart Grids Projekte. In U. Bachhiesl (Ed.), Energie für unser Europa (pp. 349–350). Verlag der technischen Universität Graz. https://doi.org/10.3217/978-3-85125-447-1, opens an external URL in a new window
-
| Special issue on energy efficient multi-core and many-core systems at reposiTUm , opens an external URL in a new windowRahmani, A. M., Liljeberg, P., Ayala, J. L., Tenhunen, H., & Veidenbaum, A. V. (2016). Special issue on energy efficient multi-core and many-core systems. Journal of Parallel and Distributed Computing, 95, 1–2. https://doi.org/10.1016/j.jpdc.2016.04.013, opens an external URL in a new window
-
| Multi-Objective Power Management for {CMPs} in the Dark Silicon Age at reposiTUm , opens an external URL in a new windowRahmani, A.-M., Haghbayan, M.-H., Liljeberg, P., Jantsch, A., & Tenhunen, H. (2016). Multi-Objective Power Management for {CMPs} in the Dark Silicon Age. In A.-M. Rahmani, P. Liljeberg, A. Hemani, A. Jantsch, & H. Tenhunen (Eds.), The Dark Side of Silicon. Springer.
-
| The Dark Side of Silicon at reposiTUm , opens an external URL in a new windowRahmani, A.-M., Liljeberg, P., Hemani, A., Jantsch, A., & Tenhunen, H. (Eds.). (2016). The Dark Side of Silicon. Springer.
-
| Metrics for Formal Property Checking Against Undesired Circuit Behavior in Embedded Systems at reposiTUm , opens an external URL in a new windowRathmair, M., & Schupfer, F. (2016). Metrics for Formal Property Checking Against Undesired Circuit Behavior in Embedded Systems. In ITG-Fachbericht ANALOG 2016 (pp. 64–69). VDE VERLAG GMBH; Berlin; Offenbach, Bismarckstraße 33, 10625 Berlin.
-
| Minimalist Qualitative Models for Model Checking Cyber-physical Feature Coordination at reposiTUm , opens an external URL in a new windowRathmair, M., Luckeneder, C., & Kaindl, H. (2016). Minimalist Qualitative Models for Model Checking Cyber-physical Feature Coordination. In Proceedings of the 23rd Asia-Pacific Software Engineering Conference (APSEC 2016) (p. 8).
-
| Assessment of Physiological Signals during Happiness, Sadness, Pain or Anger at reposiTUm , opens an external URL in a new windowTaheriNejad, N., & Pollreisz, D. (2016). Assessment of Physiological Signals during Happiness, Sadness, Pain or Anger. In 6th EAI International Conference on Wireless Mobile Communication and Healthcare (p. 8).
-
| Comprehensive Observation and its Role in Self-Awareness; An Emotion Recognition System Example at reposiTUm , opens an external URL in a new windowTaheriNejad, N., Jantsch, A., & Pollreisz, D. (2016). Comprehensive Observation and its Role in Self-Awareness; An Emotion Recognition System Example. In Position Papers of the 2016 Federated Conference on Computer Science and Information Systems (pp. 117–124).
-
| Fully digital write-in scheme for multi-bit memristive storage at reposiTUm , opens an external URL in a new windowTaheriNejad, N., Pudukotai Dinakarrao, S. M., Rathmair, M., & Jantsch, A. (2016). Fully digital write-in scheme for multi-bit memristive storage. In 13th International Conference on Electrical Engineering, Computing Science and Automatic Control (CCE) (pp. 1–6).
-
| VisualNoC at reposiTUm , opens an external URL in a new windowWang, J., Huang, L., Ebrahimi, M., Li, Q., Jantsch, A., & Li, G. (2016). VisualNoC. In Proceedings of the Third ACM International Workshop on Many-core Embedded Systems. ACM Workshop on Many-core Embedded Systems, Seoul, Sout Korea, Non-EU. https://doi.org/10.1145/2934495.2949544, opens an external URL in a new window
-
| Calculation of delivery rate in fault-tolerant network-on-chips at reposiTUm , opens an external URL in a new windowWang, J., Huang, L., Li, G., & Jantsch, A. (2016). Calculation of delivery rate in fault-tolerant network-on-chips. Electronics Letters, 52(7), 546–548.
-
| Optimizing the location of ECC protection in network-on-chip at reposiTUm , opens an external URL in a new windowWang, J., Huang, L., Li, Q., Li, G., & Jantsch, A. (2016). Optimizing the location of ECC protection in network-on-chip. In Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis. International Conference on Hardware/Software Codesign and System Synthesis, ISSS+CODES, New Delhi, India, Non-EU. https://doi.org/10.1145/2968456.2968460, opens an external URL in a new window
-
| Agent-Based Cognitive Architecture Framework at reposiTUm , opens an external URL in a new windowWendt, A., & Sauter, T. (2016). Agent-Based Cognitive Architecture Framework. In 2016 IEEE 21st International Conference on Emerging Technologies and Factory Automation (ETFA) - Proceedings. IEEE, Austria. IEEE. https://doi.org/10.1109/etfa.2016.7733696, opens an external URL in a new window
-
| Optimization of Energy Efficiency in Buildings with Cognitive Knowledge-Based Control at reposiTUm , opens an external URL in a new windowWendt, A., Zucker, G., Siafara, L., Hausberger, P., Schaat, S., Lindmeier, I., & Kastner, W. (2016). Optimization of Energy Efficiency in Buildings with Cognitive Knowledge-Based Control. Smart Grids Week 2016, Linz, Austria.
-
| A cognitive architecture for building automation at reposiTUm , opens an external URL in a new windowZucker, G., Wendt, A., Siafara, L., & Schaat, S. (2016). A cognitive architecture for building automation. In IECON 2016 - 42nd Annual Conference of the IEEE Industrial Electronics Society. IECON 2016, Austria. IEEE. https://doi.org/10.1109/iecon.2016.7793798, opens an external URL in a new window
-
| MultiCS: Circuit switched NoC with multiple sub-networks and sub-channels at reposiTUm , opens an external URL in a new windowLiu, S., Jantsch, A., & Lu, Z. (2015). MultiCS: Circuit switched NoC with multiple sub-networks and sub-channels. Journal of Systems Architecture, 61(9), 423–434. https://doi.org/10.1016/j.sysarc.2015.07.013, opens an external URL in a new window
-
| The Benefits of Self-Awareness and Attention in Fog and Mist Computing at reposiTUm , opens an external URL in a new windowPreden, J.-S., Tammemäe, K., Jantsch, A., Leier, M., Riid, A., & Calis, E. (2015). The Benefits of Self-Awareness and Attention in Fog and Mist Computing. Computer, 48(7), 37–45. https://doi.org/10.1109/mc.2015.207, opens an external URL in a new window
-
| Achieving Memory Access Equalization Via Round-Trip Routing Latency Prediction in 3D Many-Core NoCs at reposiTUm , opens an external URL in a new windowChen, X., Lu, Z., & Jantsch, A. (2015). Achieving Memory Access Equalization Via Round-Trip Routing Latency Prediction in 3D Many-Core NoCs. In 2015 IEEE Computer Society Annual Symposium on VLSI. IEEE Annual Symposium on VLSI (ISVLSI), Montpellier, EU. https://doi.org/10.1109/isvlsi.2015.8, opens an external URL in a new window
-
| Performance Analysis of Homogeneous On-chip Large-scale Parallel Computing Architectures for Data-parallel Applications at reposiTUm , opens an external URL in a new windowChen, X., Lu, Z., Jantsch, A., Chen, S., Guo, Y., Chen, S., & Chen, H. (2015). Performance Analysis of Homogeneous On-chip Large-scale Parallel Computing Architectures for Data-parallel Applications. Journal of Electrical and Computer Engineering, 2015, 1–20. https://doi.org/10.1155/2015/902591, opens an external URL in a new window
-
| Natural Scientific, Psychoanalytical Model of the Psyche for Simulation and Emulation at reposiTUm , opens an external URL in a new windowDietrich, D., Brandstätter, C., Doblhammer, K., Fittner, M., Fodor, G., Gelbard, F., Huber, M., Jakubec, M., Kollmann, S., Kowarik, D., Schaat, S., Wendt, A., & Widholm, R. (2015). Natural Scientific, Psychoanalytical Model of the Psyche for Simulation and Emulation.
-
| Self-aware Cyber-Physical Systems-on-Chip at reposiTUm , opens an external URL in a new windowDutt, N., Jantsch, A., & Sarma, S. (2015). Self-aware Cyber-Physical Systems-on-Chip. In 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). International Conference on Computer-Aided Design (ICCAD), Austin, Texas, Non-EU. https://doi.org/10.1109/iccad.2015.7372548, opens an external URL in a new window
-
| ICT4RobustGrid Transition Roadmap - from Centralized to Massively Decentralized Grid Control Systems at reposiTUm , opens an external URL in a new windowFaschang, M., Xypolytou, E., Meisel, M., Wendt, A., Kaufmann, T., Litzlbauer, M., Marchgraber, J., Bibl, M., Prostejovsky, A., Gawron-Deutsch, T., & Kienesberger, G. (Eds.). (2015). ICT4RobustGrid Transition Roadmap - from Centralized to Massively Decentralized Grid Control Systems. Eigenverlag.
-
| MapPro at reposiTUm , opens an external URL in a new windowHaghbayan, M.-H., Kanduri, A., Rahmani, A.-M., Liljeberg, P., Jantsch, A., & Tenhunen, H. (2015). MapPro. In Proceedings of the 9th International Symposium on Networks-on-Chip. International Symposium on Networks on Chip, Vancouver, Canada, Non-EU. https://doi.org/10.1145/2786572.2786589, opens an external URL in a new window
-
| Least Upper Delay Bound for {VBR} Flows in Networks-on-Chip with Virtual Channels at reposiTUm , opens an external URL in a new windowJafari, F., Lu, Z., & Jantsch, A. (2015). Least Upper Delay Bound for {VBR} Flows in Networks-on-Chip with Virtual Channels. Design Automation for Embedded Systems, 20(3), 1–33. https://doi.org/10.1145/2733374, opens an external URL in a new window
-
| Logical Thought Based on Word Presentations at reposiTUm , opens an external URL in a new windowJakubec, M., Doblhammer, K., Fittner, M., & Wendt, A. (2015). Logical Thought Based on Word Presentations. In Proceedings of the EuroAsianPacific Joint Conference on Cognitive Science (p. 6).
-
| Critical research areas driven by industry transformations at reposiTUm , opens an external URL in a new windowJantsch, A. (2015). Critical research areas driven by industry transformations. Design Automation and Test Europe (DATE), Grenoble, France, EU.
-
| The it and the self - challenges and opportunities in cyber-physical systems at reposiTUm , opens an external URL in a new windowJantsch, A. (2015). The it and the self - challenges and opportunities in cyber-physical systems. EIT Summer School on Cyber Physical Systems, Stockholm, EU.
-
| Self-awareness in cyber-physical systems at reposiTUm , opens an external URL in a new windowJantsch, A., & Dutt, N. (2015). Self-awareness in cyber-physical systems. HiPEAC Computing Week, Oslo, EU.
-
| Dark silicon aware runtime mapping for many-core systems: A patterning approach at reposiTUm , opens an external URL in a new windowKanduri, A., Haghbayan, M.-H., Rahmani, A.-M., Liljeberg, P., Jantsch, A., & Tenhunen, H. (2015). Dark silicon aware runtime mapping for many-core systems: A patterning approach. In 2015 33rd IEEE International Conference on Computer Design (ICCD). International Conference on Computer Design (ICCD), Seoul, Korea, Non-EU. https://doi.org/10.1109/iccd.2015.7357167, opens an external URL in a new window
-
| CHEF at reposiTUm , opens an external URL in a new windowKrieg, C., & Neubacher, D. (2015). CHEF. In Proceedings of the WESS’15: Workshop on Embedded Systems Security. ACM. https://doi.org/10.1145/2818362.2818371, opens an external URL in a new window
-
| Highway in TDM NoCs at reposiTUm , opens an external URL in a new windowLiu, S., Lu, Z., & Jantsch, A. (2015). Highway in TDM NoCs. In Proceedings of the 9th International Symposium on Networks-on-Chip. International Symposium on Networks on Chip, Vancouver, Canada, Non-EU. https://doi.org/10.1145/2786572.2786577, opens an external URL in a new window
-
| A Packet-switched Interconnect for Many-core Systems with BE and RT Service at reposiTUm , opens an external URL in a new windowMa, R., Hui, Z., & Jantsch, A. (2015). A Packet-switched Interconnect for Many-core Systems with BE and RT Service. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015. Design, Automation and Test in Europe (DATE), Munich, Germany, Austria. https://doi.org/10.7873/date.2015.0405, opens an external URL in a new window
-
| Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approach at reposiTUm , opens an external URL in a new windowRahmani, A.-M., Tenhunen, H., Liljeberg, P., Weldezion, A. Y., Kanduru, S., Plosila, J., Haghbayan, M.-H., & Jantsch, A. (2015). Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approach. In 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED). International Symposium on Low Power Electronics and Design (ISLPED), Rom, Italy, EU. https://doi.org/10.1109/islped.2015.7273517, opens an external URL in a new window
-
| Range Based Analysis of Inner Systems Characteristics at reposiTUm , opens an external URL in a new windowRathmair, M. (2015). Range Based Analysis of Inner Systems Characteristics. Design, Automation and Test in Europe (DATE), Munich, Germany, Austria.
-
| VSS - VIENNA young SCIENTISTS SYMPOSIUM at reposiTUm , opens an external URL in a new windowRathmair, M., & Schupfer, F. (2015). VSS - VIENNA young SCIENTISTS SYMPOSIUM. In VSS - VIENNA young SCIENTISTS SYMPOSIUM (pp. 34–35). Book-of-Abstracts.com, Heinz A. Krebs.
-
| Consistently Formalizing a Business Process and its Properties for Verification: A Case Study at reposiTUm , opens an external URL in a new windowRathmair, M., Hoch, R., Kaindl, H., & Popp, R. (2015). Consistently Formalizing a Business Process and its Properties for Verification: A Case Study. In Proceedings of the Practice of Enterprise Modeling 8th IFIP WG 8.1. Working Conference (PoEM 2015) (pp. 126–140).
-
| Examination of Foundational AGI-Agents in Artificial-Life Simulations at reposiTUm , opens an external URL in a new windowSchaat, S., Kollmann, S., Zhukova, O., Dietrich, D., & Doblhammer, K. (2015). Examination of Foundational AGI-Agents in Artificial-Life Simulations. In Proceedings of the 2015 Conference on Technologies and Applications of Artificial Intelligence (pp. 330–335). IEEE.
-
| Emotion in Consumer Simulations for the Development and Testing of Recommendations for Marketing Strategies at reposiTUm , opens an external URL in a new windowSchaat, S., Miladinovic, A., Wilker, S., Kollmann, S., Dickert, S., Geveze, E., & Gruber, V. (2015). Emotion in Consumer Simulations for the Development and Testing of Recommendations for Marketing Strategies. In Proceedings of the 3rd Workshop on Emotions and Personality in Personalized Systems (pp. 25–32). ACM Digital Library.
-
| Interdisciplinary Development and Evaluation of Cognitive Architectures Exemplified with the SiMA Approach at reposiTUm , opens an external URL in a new windowSchaat, S., Wendt, A., Kollmann, S., Gelbard, F., & Jakubec, M. (2015). Interdisciplinary Development and Evaluation of Cognitive Architectures Exemplified with the SiMA Approach. In Proceedings of the EuroAsianPacific Joint Conference on Cognitive Science (pp. 515–520). CEURWS.org.
-
| Building reliable systems-on-chip in nanoscale technologies at reposiTUm , opens an external URL in a new windowSteininger, A., Zimmermann, H., Jantsch, A., Hofbauer, M., Schmid, U., Schweiger, K., & Savulimedu Veeravalli, V. (2015). Building reliable systems-on-chip in nanoscale technologies. Elektrotechnik Und Informationstechnik : E & i, 132(6), 301–306. https://doi.org/10.1007/s00502-015-0319-0, opens an external URL in a new window
-
| Memristors' Potential for Multi-bit Storage and Pattern Learning at reposiTUm , opens an external URL in a new windowTaheriNejad, N., Pudukotai Dinakarrao, S. M., & Jantsch, A. (2015). Memristors’ Potential for Multi-bit Storage and Pattern Learning. In 2015 IEEE European Modelling Symposium (EMS). IEEE Proceeding of the 9th European Modelling Symposium (EMS 2015), Madrid, Spain, EU. https://doi.org/10.1109/ems.2015.73, opens an external URL in a new window
-
| Design of Fault-Tolerant and Reliable Networks-on-Chip at reposiTUm , opens an external URL in a new windowWang, J., Ebrahimi, M., Huang, L., Jantsch, A., & Li, G. (2015). Design of Fault-Tolerant and Reliable Networks-on-Chip. In 2015 IEEE Computer Society Annual Symposium on VLSI. IEEE Annual Symposium on VLSI (ISVLSI), Montpellier, EU. https://doi.org/10.1109/isvlsi.2015.33, opens an external URL in a new window
-
| Zero-Load Predictive Model for Performance Analysis in Deflection Routing {NoCs} at reposiTUm , opens an external URL in a new windowWeldezion, A. Y., Grange, M., Jantsch, A., Tenhunen, H., & Pamunuwa, D. (2015). Zero-Load Predictive Model for Performance Analysis in Deflection Routing {NoCs}. Microprocessors and Microsystems, 39(8), 634–647. https://doi.org/10.1016/j.micpro.2015.09.002, opens an external URL in a new window
-
| Decision-Making in the Cognitive Architecture SiMA at reposiTUm , opens an external URL in a new windowWendt, A., Gelbard, F., Fittner, M., Schaat, S., Jakubec, M., Brandstätter, C., & Kollmann, S. (2015). Decision-Making in the Cognitive Architecture SiMA. In Technologies and Applications of Artificial Intelligence (p. 6). IEEE.
-
| Endbericht extrACT -- Automatische Funktions- und Ertragskontrolle für thermische Gebäudesysteme - Effizienzsteigerung Datenextraktion at reposiTUm , opens an external URL in a new windowWenninger, J. G. O., Jantsch, A., Rathmair, M., Halmdienst, C., Zucker, G., & Blöchle, M. (2015). Endbericht extrACT -- Automatische Funktions- und Ertragskontrolle für thermische Gebäudesysteme - Effizienzsteigerung Datenextraktion.
-
| A Routing-Level Solution for Fault Detection, Masking, and Tolerance in NoCs at reposiTUm , opens an external URL in a new windowZhang, X., Ebrahimi, M., Huang, L., Li, G., & Jantsch, A. (2015). A Routing-Level Solution for Fault Detection, Masking, and Tolerance in NoCs. In 2015 23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing. IEEE Euromicro Conference on Parallel, Distributed and Network-Based Computing, (PDP), Turku, EU. https://doi.org/10.1109/pdp.2015.87, opens an external URL in a new window
-
| Exploring Stacked Main Memory Architecture for 3D GPGPUs at reposiTUm , opens an external URL in a new windowZhang, Y., Li, L., Jantsch, A., Lu, Z., Gao, M., Fu, Y., & Pan, H. (2015). Exploring Stacked Main Memory Architecture for 3D GPGPUs. In IEEE International Conference on ASIC (ASICON) (p. 4). IEEE.
-
| Building energy management and data analytics at reposiTUm , opens an external URL in a new windowZucker, G., Wendt, A., Habib, U., Schaat, S., Siafara, L., & Blöchle, M. (2015). Building energy management and data analytics. In 2015 International Symposium on Smart Electric Distribution Systems and Technologies (EDST). IEEE, Austria. IEEE Xplore. https://doi.org/10.1109/sedst.2015.7315253, opens an external URL in a new window
-
| Naturwissenschaftliches, psychoanalytisches Modell der Psyche für Simulation und Emulation at reposiTUm , opens an external URL in a new windowBrandstätter, C., Dietrich, D., Doblhammer, K., Fittner, M., Fodor, G., Gelbard, F., Huber, M., Jakubec, M., Kollmann, S., Kowarik, D., Schaat, S., Wendt, A., & Widholm, R. (2014). Naturwissenschaftliches, psychoanalytisches Modell der Psyche für Simulation und Emulation.
-
| Rescuing Healthy Cores Against Disabled Routers at reposiTUm , opens an external URL in a new windowEbrahimi, M., Wang, J., Huang, L., Daneshtalab, M., & Jantsch, A. (2014). Rescuing Healthy Cores Against Disabled Routers. In Proceedings of 2014 IEEE the International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) (pp. 1–8).
-
| Silicon Aware Power Management for Manycore Systems under Dynamic Workloads at reposiTUm , opens an external URL in a new windowHaghbayan, M.-H., Rahmani, A.-M., Weldezion, A. Y., Liljeberg, P., Plosila, J., Jantsch, A., & Tenhunen, H. (2014). Silicon Aware Power Management for Manycore Systems under Dynamic Workloads. In Proceedings of the International Conference on Computer Design (pp. 509–512).
-
| A Framework of Awareness for Artificial Subjects at reposiTUm , opens an external URL in a new windowJantsch, A., & Tammemäae, K. (2014). A Framework of Awareness for Artificial Subjects. In Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, ISSS+CODES (pp. 20:1-20:3).
-
| Device Library Attack: Silently Compromising the FPGA Design Flow at reposiTUm , opens an external URL in a new windowKrieg, C. (2014). Device Library Attack: Silently Compromising the FPGA Design Flow. Formal Methods in Computer-Aided Design 2014, Lausanne, Switzerland.
-
| A Process for the Detection of Design-Level Hardware Trojans Using Verification Methods at reposiTUm , opens an external URL in a new windowKrieg, C., Rathmair, M., & Schupfer, F. (2014). A Process for the Detection of Design-Level Hardware Trojans Using Verification Methods. In Proceedings of the 11th IEEE International Conference on Embedded Software and Systems (ICESS 2014) (p. 6).
-
| A Fair and Maximal Allocator for Single-Cycle On-Chip Homogeneous Resource Allocation at reposiTUm , opens an external URL in a new windowLiu, S., Jantsch, A., & Lu, Z. (2014). A Fair and Maximal Allocator for Single-Cycle On-Chip Homogeneous Resource Allocation. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 22(10), 2229–2233. https://doi.org/10.1109/tvlsi.2013.2284563, opens an external URL in a new window
-
| Worst Case, Noise and Sensitivity Analysis of Sigma Delta Modulator with Affine Arithmetic at reposiTUm , opens an external URL in a new windowRadojicic, C., Grimm, C., Schupfer, F., & Rathmair, M. (2014). Worst Case, Noise and Sensitivity Analysis of Sigma Delta Modulator with Affine Arithmetic. In Proceedings of 16th Workshop Analog Circuits 2014 (pp. 6–7).
-
| Structural System Analysis from Design Level down to Netlist Level at reposiTUm , opens an external URL in a new windowRathmair, M., & Schupfer, F. (2014). Structural System Analysis from Design Level down to Netlist Level. In Formal Methods in Computer-Aided Design (FMCAD 2014). Formal Methods in Computer-Aided Design, Lausanne, Switzerland.
-
| Applied Formal Methods for Hardware Trojan Detection at reposiTUm , opens an external URL in a new windowRathmair, M., Schupfer, F., & Krieg, C. (2014). Applied Formal Methods for Hardware Trojan Detection. In Proceedings of ISCAS2014, International Symposium on Circuits and Systems (pp. 169–172).
-
| Simulationsgestützte Analyse der inneren Eigenschaften von Mixed-Signal Systemen at reposiTUm , opens an external URL in a new windowRathmair, M., Schupfer, F., Grimm, C., & Radojicic, C. (2014). Simulationsgestützte Analyse der inneren Eigenschaften von Mixed-Signal Systemen. In Proceedings of 16th Workshop Analog Circuits 2014 (pp. 10–11).
-
| ARS: An AGI Architecture at reposiTUm , opens an external URL in a new windowSchaat, S., Wendt, A., Jakubec, M., Gelbard, F., Herret, L., & Dietrich, D. (2014). ARS: An AGI Architecture. In Proceedings of the 7th conference on Artificial General Intelligence (pp. 155–164).
-
| Extension of a Smart Grid Test Facility with Building Energy Agent Technology at reposiTUm , opens an external URL in a new windowWendt, A., Pichler, M., Faschang, M., Leber, T., & Deutsch, T. (2014). Extension of a Smart Grid Test Facility with Building Energy Agent Technology. In Smart Grids Week (p. 1).
-
| Validation of Cognitive Architectures by Use Cases: Examplified with the psychoanalytically-inspired ARS model implementation at reposiTUm , opens an external URL in a new windowBruckner, D., Gelbard, F., Schaat, S., & Wendt, A. (2013). Validation of Cognitive Architectures by Use Cases: Examplified with the psychoanalytically-inspired ARS model implementation. In Proceedings of the IEEE International Symposium on Industrial Electronics (ISIE) 2013 (p. 6).
-
| Naturwissenschaftliches psychoanalytisches Modell der Psyche at reposiTUm , opens an external URL in a new windowDietrich, D., Bruckner, D., Muchitsch, C., Wendt, A., & Schaat, S. (2013). Naturwissenschaftliches psychoanalytisches Modell der Psyche (No. 50).
-
| Smart Energy Grids in Austria - Innovative Solutions and Concepts at reposiTUm , opens an external URL in a new windowPollhammer, K., Kienesberger, G., Faschang, M., Meisel, M., Wendt, A., Leber, T., & Dimitriou, P. (2013). Smart Energy Grids in Austria - Innovative Solutions and Concepts. In Proceedings of the IECON 2013 - 39th Annual Conference of the IEEE Industrial Electronics Society (p. 6).
-
| Assertion-based Verification of Phase-Locked Loop Circuit with Affine Arithmetic at reposiTUm , opens an external URL in a new windowRadojicic, C., Grimm, C., Schupfer, F., & Rathmair, M. (2013). Assertion-based Verification of Phase-Locked Loop Circuit with Affine Arithmetic. Frontiers in Analog CAD, Berkeley, CA 94704, Non-EU.
-
| Verification of Mixed-Signal Systems with Affine Arithmetic Assertions at reposiTUm , opens an external URL in a new windowRadojicic, C., Grimm, C., Schupfer, F., & Rathmair, M. (2013). Verification of Mixed-Signal Systems with Affine Arithmetic Assertions. VLSI Design, 2013, 1–14. https://doi.org/10.1155/2013/239064, opens an external URL in a new window
-
| Load Identification and Management Framework for Private Households at reposiTUm , opens an external URL in a new windowRathmair, M., & Haase, J. (2013). Load Identification and Management Framework for Private Households. In Proceedings ot the IECON13, 39th Annual Conference of the IEEE Industrial Electronics Society (pp. 5727–5732).
-
| Hardware Trojan Detection by Specifying Malicious Circuit Properties at reposiTUm , opens an external URL in a new windowRathmair, M., & Schupfer, F. (2013). Hardware Trojan Detection by Specifying Malicious Circuit Properties. In Proceedings of 2013 IEEE 4th International Conference on Electronics Information and Emergency Communication (pp. 394–397).
-
| A Psychoanalytically-Inspired Motivational and Emotional System for Autonomous Agents at reposiTUm , opens an external URL in a new windowSchaat, S., Doblhammer, K., Wendt, A., Gelbard, F., Herret, L., & Bruckner, D. (2013). A Psychoanalytically-Inspired Motivational and Emotional System for Autonomous Agents. In A Psychoanalytically-Inspired Motivational and Emotional System for Autonomous Agents (p. 6).
-
| A Multi-Criteria Exemplar Model for Holistic Categorization in Autonomous Agents at reposiTUm , opens an external URL in a new windowSchaat, S., Wendt, A., & Bruckner, D. (2013). A Multi-Criteria Exemplar Model for Holistic Categorization in Autonomous Agents. In Proceedings of the 39th Annual Conference of the IEEE Industrial Electronics Society (p. 6).
-
| Integrating Top-Down and Bottom-up Approaches in Holistic Perceptual Categorization at reposiTUm , opens an external URL in a new windowSchaat, S., Wendt, A., & Bruckner, D. (2013). Integrating Top-Down and Bottom-up Approaches in Holistic Perceptual Categorization. In Proceedings of the 35th Annual Conference of the Cognitive Science Society (p. 4099).
-
| Software Design of the Test Facility "Intelligent Low Voltage Grid" at reposiTUm , opens an external URL in a new windowWendt, A., Faschang, M., Leber, T., & Deutsch, T. (2013). Software Design of the Test Facility “Intelligent Low Voltage Grid.” In Smart Grids Week - Salzburg 2013 (p. 1).
-
| Software Architecture for a Smart Grids Test Facility at reposiTUm , opens an external URL in a new windowWendt, A., Faschang, M., Leber, T., Pollhammer, K., & Deutsch, T. (2013). Software Architecture for a Smart Grids Test Facility. In IECON 2013 - 39th Annual Conference of the IEEE Industrial Electronics Society (p. 6).
-
| Usage of Spreading Activation for Content Retrieval in an Autonomous Agent at reposiTUm , opens an external URL in a new windowWendt, A., Schaat, S., Gelbard, F., Muchitsch, C., & Bruckner, D. (2013). Usage of Spreading Activation for Content Retrieval in an Autonomous Agent. In IECON 2013 - 39th Annual Conference of the IEEE Industrial Electronics Society (p. 6).
-
| Co-Simulation Framework for Variation Analysis of Radio Frequency Transceivers at reposiTUm , opens an external URL in a new windowAdhikari, S., Schupfer, F., & Grimm, C. (2012). Co-Simulation Framework for Variation Analysis of Radio Frequency Transceivers. In Proceedings of System, Software, SoC and Silicon Debug Conference (p. 7).
-
| Fast Optimization of Analog Amplifier Architecture Using Simulated Annealing at reposiTUm , opens an external URL in a new windowAdhikari, S., Schupfer, F., & Grimm, C. (2012). Fast Optimization of Analog Amplifier Architecture Using Simulated Annealing. In Proceedings of the Forum on specification & Design Languages, FDL 2012 (p. 6).
-
| Generic Droop Correction IIR Filter Design Algorithm at reposiTUm , opens an external URL in a new windowAdhikari, S., Schupfer, F., & Grimm, C. (2012). Generic Droop Correction IIR Filter Design Algorithm. In Proceedings of 11th IFAC/IEEE International Conference on Programmable Devices and Embedded Systems (p. 5). Proceedings of 11th IFAC/IEEE International Conference on Programmable Devices and Embedded Systems.
-
| Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic at reposiTUm , opens an external URL in a new windowClifford, W., Glaser, J., Schupfer, F., Haase, J., & Grimm, C. (2012). Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic. In Proceedings of the 2012 Forum on specification & Design Languages (pp. 170–177).
-
| Correlation of Link Counts Between Company Homepages and Official Economic Statisics at reposiTUm , opens an external URL in a new windowDönz, B., Wendt, A., & Bruckner, D. (2012). Correlation of Link Counts Between Company Homepages and Official Economic Statisics. In Proceedings of the IADIS e-Society (pp. 488–492).
-
| BED - Balancing Energy Demand with Buildings at reposiTUm , opens an external URL in a new windowPollhammer, K., & Wendt, A. (2012). BED - Balancing Energy Demand with Buildings. In Tagungsband ComForEn 2012 (pp. 110–115).
-
| Assertion-based Verification of Signal Processing Systems with Affine Arithmetic at reposiTUm , opens an external URL in a new windowRadojicic, C., Schupfer, F., Rathmair, M., & Grimm, C. (2012). Assertion-based Verification of Signal Processing Systems with Affine Arithmetic. In Proceedings of the 2012 forum on specification and design languages (FDL 2012) (pp. 20–26).
-
| Checking Property Specifications of Analog Systems with Affine Arithmetic at reposiTUm , opens an external URL in a new windowRadojicic, C., Schupfer, F., Rathmair, M., & Grimm, C. (2012). Checking Property Specifications of Analog Systems with Affine Arithmetic. In Informationstagung Mikroelektronik 2012 (pp. 184–188). Schriftenreihe des Öst erreichischen Verbands für Elektrotechnik.
-
| Android Based Home Appliances Simulator at reposiTUm , opens an external URL in a new windowRathmair, M., & Haase, J. (2012). Android Based Home Appliances Simulator. In Proceedings of the 2012 Forum on specification & Design Languages (p. 8).
-
| Simulator for Smart Load Management in Home Appliances at reposiTUm , opens an external URL in a new windowRathmair, M., & Haase, J. (2012). Simulator for Smart Load Management in Home Appliances. In The Fourth International Conference on Advances in System Simulation (p. 6).
-
| Extended Framework for System Simulation with Affine Arithmetic at reposiTUm , opens an external URL in a new windowRathmair, M., Schupfer, F., Radojicic, C., & Grimm, C. (2012). Extended Framework for System Simulation with Affine Arithmetic. In Proceedings of the 2012 Forum on specification & Design Languages (FDL 2012) (pp. 161–168).
-
| Towards Abstract Analysis Techniques for Range Based System Simulations at reposiTUm , opens an external URL in a new windowSchupfer, F., Kärgel, M., Grimm, C., Olbrich, M., & Barke, E. (2012). Towards Abstract Analysis Techniques for Range Based System Simulations. In System Specification and Design Languages: Selected Contributions from FDL 2010 (pp. 105–121). Springer-Verlag, Wien - New York.
-
| Turning Buildings into Active Participants of a Smart Grid at reposiTUm , opens an external URL in a new windowWendt, A., & Pollhammer, K. (2012). Turning Buildings into Active Participants of a Smart Grid. In Tagungsband ComForEn 2012 (p. 74).
-
| Data Access Through A Dynamic Data Model - A Concept for Accessing Heterogenic Data Structures in RDF Databases at reposiTUm , opens an external URL in a new windowWendt, A., Dönz, B., & Bruckner, D. (2012). Data Access Through A Dynamic Data Model - A Concept for Accessing Heterogenic Data Structures in RDF Databases. In ICAART 2012 4th International Conference on Agents and Artificial Intelligence Proceedings Volume 1 (p. 5).
-
| Evaluation Of Database Technologies For Usage In Dynamic Data Models - A Comparison of Relational, Document Oriented and Graph Oriented Data Models at reposiTUm , opens an external URL in a new windowWendt, A., Dönz, B., Mantler, S., Bruckner, D., & Mikula, A. (2012). Evaluation Of Database Technologies For Usage In Dynamic Data Models - A Comparison of Relational, Document Oriented and Graph Oriented Data Models. In ICAART 2012 4th International Conference on Agents and Artificial Intelligence Proceedings Volume 1 (p. 6).
-
| Genauigkeit, Robustheit und Powerprofiling für Cyber Physical Systems at reposiTUm , opens an external URL in a new windowWenninger, J. G. O., Schupfer, F., Haase, J., & Grimm, C. (2012). Genauigkeit, Robustheit und Powerprofiling für Cyber Physical Systems. In CPMNS 2012 (pp. 1–5).
-
| Parametric Synthesis and Extraction of Analog-ESL Designs at reposiTUm , opens an external URL in a new windowAdhikari, S., Schupfer, F., & Grimm, C. (2011). Parametric Synthesis and Extraction of Analog-ESL Designs. In Proceedings of VW-FEDA (p. 6).
-
| Perceptual Prediction for Bionically Inspired Autonomous Agents at reposiTUm , opens an external URL in a new windowMuchitsch, C., Wendt, A., Doblhammer, K., Bruckner, D., & Machajdik, J. (2011). Perceptual Prediction for Bionically Inspired Autonomous Agents. In Proceedings of the 10th IEEE Africon (2011) (p. 6).
-
| Modeling Quantization Error of DSP Systems using SystemC AMS at reposiTUm , opens an external URL in a new windowOu, J., Schupfer, F., & Grimm, C. (2011). Modeling Quantization Error of DSP Systems using SystemC AMS. In Proceedings of the VW FEDA 2011 (p. 6).
-
| System Level Robust Communication System Design using Extended System CAMS Building Block Library at reposiTUm , opens an external URL in a new windowOu, J., Schupfer, F., & Grimm, C. (2011). System Level Robust Communication System Design using Extended System CAMS Building Block Library. In Tagungsband Austrochip 2011 (pp. 39–43).
-
| Semi-symbolic Analysis of Analog and Signal Processing Systems at reposiTUm , opens an external URL in a new windowRadojicic, C., Schupfer, F., & Grimm, C. (2011). Semi-symbolic Analysis of Analog and Signal Processing Systems. FAC Workshop, Snowbird, Utah, USA, Non-EU.
-
| System Refinement Design Flow based on Semi-Symbolic Simulations at reposiTUm , opens an external URL in a new windowSchupfer, F., Radojicic, C., Wenninger, J. G. O., & Grimm, C. (2011). System Refinement Design Flow based on Semi-Symbolic Simulations. In Proceedings of the 10th IEEE Africon (2011) (p. 5).
-
| A Range Based System Simulation and Refinement Design Flow at reposiTUm , opens an external URL in a new windowSchupfer, F., Svarc, M., Radojicic, C., & Grimm, C. (2011). A Range Based System Simulation and Refinement Design Flow. In Industry Adoption of the SystemC AMS Standard (p. 8).
-
| SYCYPHOS-A Framework for Designing Cyber Physical Systems at reposiTUm , opens an external URL in a new windowSchupfer, F., Wenninger, J. G. O., & Grimm, C. (2011). SYCYPHOS-A Framework for Designing Cyber Physical Systems. In Industry Adoption of the SystemC AMS Standard (p. 1).
-
| SYCYPHOS: Ein Framework zum Entwurf von Cyber Physical Systems at reposiTUm , opens an external URL in a new windowWenninger, J. G. O., Haase, J., Schupfer, F., Gravogl, K., & Grimm, C. (2011). SYCYPHOS: Ein Framework zum Entwurf von Cyber Physical Systems. In Zuverlässigkeit und Entwurf / ITG-Fachbericht 231 5.GI/GMM/ITG-Fachtagung (pp. 140–141).
-
| A range based method for Noise Analysis of mixed A/D Communication Systems at reposiTUm , opens an external URL in a new windowLee, K., Schupfer, F., & Grimm, C. (2010). A range based method for Noise Analysis of mixed A/D Communication Systems. In Tagungsband zur Informationstagung Mikroelektronik 10 (pp. 261–266).
-
| Embedded Computer Vision-HW/SW partitioning Methodology at reposiTUm , opens an external URL in a new windowOu, J., Schupfer, F., & Grimm, C. (2010). Embedded Computer Vision-HW/SW partitioning Methodology.
-
| Towards more Dependable Verification of Mixed-Signal Systems at reposiTUm , opens an external URL in a new windowSchupfer, F., & Grimm, C. (2010). Towards more Dependable Verification of Mixed-Signal Systems. Verification over Discrete-Continuous Boundaries, 10271, 32.
-
| Towards Abstract Analysis Techniques for Range Based System Simulations at reposiTUm , opens an external URL in a new windowSchupfer, F., Kärgel, M., Grimm, C., Olbrich, M., & Barke, E. (2010). Towards Abstract Analysis Techniques for Range Based System Simulations. In Proceedings of the 2010 Forum on specification & Design Languages (pp. 159–164).
-
| Performance Guided High Level Algorithm Partitioning at reposiTUm , opens an external URL in a new windowSchupfer, F., Ou, J., Brunmayr, P., & Grimm, C. (2010). Performance Guided High Level Algorithm Partitioning. In 2010 IEEE/ASME International Conference on Mechatronic and Embedded Systems and Applications (p. 6).
-
| Late Hardware/Software Partitioning by using SystemC Functional Models at reposiTUm , opens an external URL in a new windowBrunmayr, P., Haase, J., & Schupfer, F. (2009). Late Hardware/Software Partitioning by using SystemC Functional Models. In Proceedings of the 3rd Asia International Conference on Modelling and Simulation (AMS 2009) (pp. 194–199).
-
| A SystemC Based Methodology for HW/SW Partitioning of an Embedded Computer Vision System at reposiTUm , opens an external URL in a new windowOu, J., Schupfer, F., & Haase, J. (2009). A SystemC Based Methodology for HW/SW Partitioning of an Embedded Computer Vision System. In Proceedings of the IASTED International Conference on Modelling, Simulation and Identification (pp. 133–141).
-
| The AutoSUN Verification Environment at reposiTUm , opens an external URL in a new windowGrimm, C., Gravogl, K., Schupfer, F., & Neumann, I. (2008). The AutoSUN Verification Environment. In Proceedings of Specification, Verification and Design Languages (FDL 2008) (pp. 249–250).
-
| Real-Time Communication Systems for Small Autonomous Robots at reposiTUm , opens an external URL in a new windowKrywult, S. (2008). Real-Time Communication Systems for Small Autonomous Robots. VDM-Verlag Dr. Müller.